Warning: Permanently added '[localhost]:17675' (ECDSA) to the list of known hosts. 2022/10/01 15:16:51 fuzzer started 2022/10/01 15:16:52 dialing manager at localhost:35095 syzkaller login: [ 36.332774] cgroup: Unknown subsys name 'net' [ 36.433735] cgroup: Unknown subsys name 'rlimit' 2022/10/01 15:17:05 syscalls: 2215 2022/10/01 15:17:05 code coverage: enabled 2022/10/01 15:17:05 comparison tracing: enabled 2022/10/01 15:17:05 extra coverage: enabled 2022/10/01 15:17:05 setuid sandbox: enabled 2022/10/01 15:17:05 namespace sandbox: enabled 2022/10/01 15:17:05 Android sandbox: enabled 2022/10/01 15:17:05 fault injection: enabled 2022/10/01 15:17:05 leak checking: enabled 2022/10/01 15:17:05 net packet injection: enabled 2022/10/01 15:17:05 net device setup: enabled 2022/10/01 15:17:05 concurrency sanitizer: /sys/kernel/debug/kcsan does not exist 2022/10/01 15:17:05 devlink PCI setup: PCI device 0000:00:10.0 is not available 2022/10/01 15:17:05 USB emulation: enabled 2022/10/01 15:17:05 hci packet injection: enabled 2022/10/01 15:17:05 wifi device emulation: failed to parse kernel version (6.0.0-rc7-next-20220930) 2022/10/01 15:17:05 802.15.4 emulation: enabled 2022/10/01 15:17:05 fetching corpus: 50, signal 25450/27215 (executing program) 2022/10/01 15:17:06 fetching corpus: 100, signal 38550/41867 (executing program) 2022/10/01 15:17:06 fetching corpus: 150, signal 46412/51184 (executing program) 2022/10/01 15:17:06 fetching corpus: 200, signal 53684/59850 (executing program) 2022/10/01 15:17:06 fetching corpus: 250, signal 59840/67234 (executing program) 2022/10/01 15:17:06 fetching corpus: 300, signal 63124/71846 (executing program) 2022/10/01 15:17:06 fetching corpus: 350, signal 66522/76521 (executing program) 2022/10/01 15:17:06 fetching corpus: 400, signal 72067/83186 (executing program) 2022/10/01 15:17:06 fetching corpus: 450, signal 77564/89662 (executing program) 2022/10/01 15:17:06 fetching corpus: 500, signal 81248/94393 (executing program) 2022/10/01 15:17:07 fetching corpus: 550, signal 83801/98019 (executing program) 2022/10/01 15:17:07 fetching corpus: 600, signal 86043/101332 (executing program) 2022/10/01 15:17:07 fetching corpus: 650, signal 89904/106003 (executing program) 2022/10/01 15:17:07 fetching corpus: 700, signal 91485/108611 (executing program) 2022/10/01 15:17:07 fetching corpus: 750, signal 94103/112117 (executing program) 2022/10/01 15:17:07 fetching corpus: 800, signal 95475/114520 (executing program) 2022/10/01 15:17:07 fetching corpus: 850, signal 98288/118098 (executing program) 2022/10/01 15:17:07 fetching corpus: 900, signal 101120/121704 (executing program) 2022/10/01 15:17:08 fetching corpus: 950, signal 102496/124036 (executing program) 2022/10/01 15:17:08 fetching corpus: 1000, signal 104082/126490 (executing program) 2022/10/01 15:17:08 fetching corpus: 1050, signal 106488/129623 (executing program) 2022/10/01 15:17:08 fetching corpus: 1100, signal 107719/131679 (executing program) 2022/10/01 15:17:08 fetching corpus: 1150, signal 109644/134299 (executing program) 2022/10/01 15:17:08 fetching corpus: 1200, signal 111814/137091 (executing program) 2022/10/01 15:17:08 fetching corpus: 1250, signal 114520/140247 (executing program) 2022/10/01 15:17:08 fetching corpus: 1300, signal 117654/143755 (executing program) 2022/10/01 15:17:09 fetching corpus: 1350, signal 120841/147168 (executing program) 2022/10/01 15:17:09 fetching corpus: 1400, signal 122267/149313 (executing program) 2022/10/01 15:17:09 fetching corpus: 1450, signal 123255/151011 (executing program) 2022/10/01 15:17:09 fetching corpus: 1500, signal 124576/152982 (executing program) 2022/10/01 15:17:09 fetching corpus: 1550, signal 125653/154701 (executing program) 2022/10/01 15:17:09 fetching corpus: 1600, signal 128033/157353 (executing program) 2022/10/01 15:17:09 fetching corpus: 1650, signal 129478/159295 (executing program) 2022/10/01 15:17:09 fetching corpus: 1700, signal 130517/160942 (executing program) 2022/10/01 15:17:10 fetching corpus: 1750, signal 132112/162992 (executing program) 2022/10/01 15:17:10 fetching corpus: 1800, signal 133467/164786 (executing program) 2022/10/01 15:17:10 fetching corpus: 1850, signal 134296/166248 (executing program) 2022/10/01 15:17:10 fetching corpus: 1900, signal 135348/167845 (executing program) 2022/10/01 15:17:10 fetching corpus: 1950, signal 136542/169536 (executing program) 2022/10/01 15:17:10 fetching corpus: 2000, signal 138709/171871 (executing program) 2022/10/01 15:17:10 fetching corpus: 2050, signal 139648/173375 (executing program) 2022/10/01 15:17:10 fetching corpus: 2100, signal 142561/176067 (executing program) 2022/10/01 15:17:10 fetching corpus: 2150, signal 143558/177533 (executing program) 2022/10/01 15:17:10 fetching corpus: 2200, signal 144670/179053 (executing program) 2022/10/01 15:17:11 fetching corpus: 2250, signal 145519/180386 (executing program) 2022/10/01 15:17:11 fetching corpus: 2300, signal 146237/181621 (executing program) 2022/10/01 15:17:11 fetching corpus: 2350, signal 147086/182900 (executing program) 2022/10/01 15:17:11 fetching corpus: 2400, signal 148639/184593 (executing program) 2022/10/01 15:17:11 fetching corpus: 2450, signal 150445/186367 (executing program) 2022/10/01 15:17:11 fetching corpus: 2500, signal 152377/188189 (executing program) 2022/10/01 15:17:11 fetching corpus: 2550, signal 153455/189498 (executing program) 2022/10/01 15:17:11 fetching corpus: 2600, signal 154539/190834 (executing program) 2022/10/01 15:17:12 fetching corpus: 2650, signal 156197/192430 (executing program) 2022/10/01 15:17:12 fetching corpus: 2700, signal 156593/193310 (executing program) 2022/10/01 15:17:12 fetching corpus: 2750, signal 157741/194616 (executing program) 2022/10/01 15:17:12 fetching corpus: 2800, signal 158235/195590 (executing program) 2022/10/01 15:17:12 fetching corpus: 2850, signal 159281/196905 (executing program) 2022/10/01 15:17:12 fetching corpus: 2900, signal 159943/197937 (executing program) 2022/10/01 15:17:12 fetching corpus: 2950, signal 160963/199222 (executing program) 2022/10/01 15:17:12 fetching corpus: 3000, signal 161611/200170 (executing program) 2022/10/01 15:17:12 fetching corpus: 3050, signal 162247/201150 (executing program) 2022/10/01 15:17:12 fetching corpus: 3100, signal 163424/202337 (executing program) 2022/10/01 15:17:13 fetching corpus: 3150, signal 164313/203315 (executing program) 2022/10/01 15:17:13 fetching corpus: 3200, signal 165275/204342 (executing program) 2022/10/01 15:17:13 fetching corpus: 3250, signal 166700/205567 (executing program) 2022/10/01 15:17:13 fetching corpus: 3300, signal 167826/206640 (executing program) 2022/10/01 15:17:13 fetching corpus: 3350, signal 168689/207604 (executing program) 2022/10/01 15:17:13 fetching corpus: 3400, signal 169773/208641 (executing program) 2022/10/01 15:17:13 fetching corpus: 3450, signal 170248/209411 (executing program) 2022/10/01 15:17:13 fetching corpus: 3500, signal 171480/210481 (executing program) 2022/10/01 15:17:13 fetching corpus: 3550, signal 172443/211414 (executing program) 2022/10/01 15:17:14 fetching corpus: 3600, signal 173812/212441 (executing program) 2022/10/01 15:17:14 fetching corpus: 3650, signal 175077/213435 (executing program) 2022/10/01 15:17:14 fetching corpus: 3700, signal 175789/214184 (executing program) 2022/10/01 15:17:14 fetching corpus: 3750, signal 176421/214943 (executing program) 2022/10/01 15:17:14 fetching corpus: 3800, signal 177657/215891 (executing program) 2022/10/01 15:17:14 fetching corpus: 3850, signal 178651/216754 (executing program) 2022/10/01 15:17:14 fetching corpus: 3900, signal 179775/217605 (executing program) 2022/10/01 15:17:15 fetching corpus: 3950, signal 180429/218325 (executing program) 2022/10/01 15:17:15 fetching corpus: 4000, signal 181183/219061 (executing program) 2022/10/01 15:17:15 fetching corpus: 4050, signal 182350/219941 (executing program) 2022/10/01 15:17:15 fetching corpus: 4100, signal 183022/220592 (executing program) 2022/10/01 15:17:15 fetching corpus: 4150, signal 183791/221294 (executing program) 2022/10/01 15:17:15 fetching corpus: 4200, signal 184805/222053 (executing program) 2022/10/01 15:17:15 fetching corpus: 4250, signal 185605/222692 (executing program) 2022/10/01 15:17:15 fetching corpus: 4300, signal 186016/223253 (executing program) 2022/10/01 15:17:16 fetching corpus: 4350, signal 186911/223905 (executing program) 2022/10/01 15:17:16 fetching corpus: 4400, signal 187566/224497 (executing program) 2022/10/01 15:17:16 fetching corpus: 4450, signal 188223/225068 (executing program) 2022/10/01 15:17:16 fetching corpus: 4500, signal 188723/225594 (executing program) 2022/10/01 15:17:16 fetching corpus: 4550, signal 189691/226234 (executing program) 2022/10/01 15:17:16 fetching corpus: 4600, signal 190263/226734 (executing program) 2022/10/01 15:17:16 fetching corpus: 4650, signal 191496/227418 (executing program) 2022/10/01 15:17:16 fetching corpus: 4700, signal 192846/228100 (executing program) 2022/10/01 15:17:16 fetching corpus: 4750, signal 193370/228572 (executing program) 2022/10/01 15:17:17 fetching corpus: 4800, signal 193977/229081 (executing program) 2022/10/01 15:17:17 fetching corpus: 4850, signal 194440/229531 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/229918 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/230267 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/230633 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/230988 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/231336 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/231708 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/232054 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/232402 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/232758 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/233127 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/233476 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/233817 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/234151 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/234515 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/234854 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/235214 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/235558 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/235900 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/236251 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/236423 (executing program) 2022/10/01 15:17:17 fetching corpus: 4871, signal 194680/236423 (executing program) 2022/10/01 15:17:19 starting 8 fuzzer processes 15:17:19 executing program 0: r0 = signalfd4(0xffffffffffffffff, &(0x7f0000000000)={[0x9]}, 0x8, 0x800) r1 = syz_genetlink_get_family_id$devlink(&(0x7f0000000080), 0xffffffffffffffff) sendmsg$DEVLINK_CMD_RELOAD(r0, &(0x7f00000001c0)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x44000}, 0xc, &(0x7f0000000180)={&(0x7f00000000c0)={0xac, r1, 0x300, 0x70bd2d, 0x25dfdbff, {}, [{@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, @DEVLINK_ATTR_NETNS_PID={0x8}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, @DEVLINK_ATTR_NETNS_ID={0x8, 0x8c, 0x2}}, {@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_PID={0x8}}, {@pci={{0x8}, {0x11}}, @DEVLINK_ATTR_NETNS_ID={0x8, 0x8c, 0x1}}]}, 0xac}, 0x1, 0x0, 0x0, 0x40051}, 0x54) r2 = signalfd(r0, &(0x7f0000000200)={[0x1f]}, 0x8) r3 = syz_genetlink_get_family_id$batadv(&(0x7f0000000280), r0) sendmsg$BATADV_CMD_GET_TRANSTABLE_GLOBAL(r2, &(0x7f0000000380)={&(0x7f0000000240)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000340)={&(0x7f00000002c0)={0x5c, r3, 0x400, 0x70bd2c, 0x25dfdbfb, {}, [@BATADV_ATTR_NETWORK_CODING_ENABLED={0x5, 0x38, 0x1}, @BATADV_ATTR_GW_SEL_CLASS={0x8, 0x34, 0x7}, @BATADV_ATTR_GW_MODE={0x5, 0x33, 0x2}, @BATADV_ATTR_HARD_IFINDEX={0x8}, @BATADV_ATTR_ISOLATION_MASK={0x8, 0x2c, 0x5}, @BATADV_ATTR_VLANID={0x6}, @BATADV_ATTR_BRIDGE_LOOP_AVOIDANCE_ENABLED={0x5}, @BATADV_ATTR_GW_SEL_CLASS={0x8, 0x34, 0x23}, @BATADV_ATTR_NETWORK_CODING_ENABLED={0x5}]}, 0x5c}, 0x1, 0x0, 0x0, 0x12}, 0x20000181) r4 = dup2(0xffffffffffffffff, r2) sendmsg$TIPC_CMD_GET_NODES(r4, &(0x7f0000000480)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x40}, 0xc, &(0x7f0000000440)={&(0x7f0000000400)={0x1c, 0x0, 0x100, 0x70bd28, 0x25dfdbfd, {}, [""]}, 0x1c}, 0x1, 0x0, 0x0, 0x20008004}, 0x100) r5 = io_uring_register$IORING_REGISTER_PERSONALITY(r2, 0x9, 0x0, 0x0) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000580)=@IORING_OP_SEND={0x1a, 0x4, 0x0, r2, 0x0, &(0x7f00000004c0)="23d2110cde21996cc5fb797dc9739caf2087bedf39a72df59833c6eb11bde814d004c198be7762ae61b1334f4728e881eb34ca235499eaea4de101d5973b552082f6a80eba0831f19efb64343ca8879c5fd39e17b46139e157b4c74c06e4e4a44335e9036a190c753221794323ca7cdbb43d32eb3db2587263e0f9eb4bcef56b673bb7f59af3047bd9518ef4dd33aba38ab888d2d279d95b2c9b01db427b4cd3599b8ba56346a57903", 0xa9, 0x400c000, 0x0, {0x0, r5}}, 0x3ff) sendmsg$OSF_MSG_ADD(r2, &(0x7f0000001900)={&(0x7f00000005c0)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f00000018c0)={&(0x7f0000000600)={0x12b4, 0x0, 0x5, 0x801, 0x0, 0x0, {0x3, 0x0, 0x5}, [{{0x254, 0x1, {{0x1, 0x10000}, 0x4, 0x4, 0x634c, 0xff, 0x17, 'syz1\x00', "644b5d57079b288daccf1cf9c8b6f18954afad68cbc434107c8bdfb0c8364ba2", "8ecb7c0e7304b5582d793da69b33523529a76dba3fde4650d09e4f1edd7ac504", [{0x0, 0xeb, {0x0, 0x4}}, {0x54, 0x40, {0x0, 0x4}}, {0x6, 0x5, {0x0, 0x10000}}, {0xc000, 0x0, {0x3, 0x5}}, {0xc71d, 0x1, {0x2, 0x9}}, {0x5000, 0x7fff, {0x0, 0x400}}, {0x0, 0x5, {0x0, 0x100}}, {0x5c2, 0x2, {0x0, 0x1}}, {0x0, 0x8001, {0x2, 0x5}}, {0x7, 0x9804, {0x1, 0x4}}, {0x8, 0x8, {0x0, 0x9}}, {0x401, 0x3f, {0x1, 0xeba}}, {0x7, 0x1d, {0x2, 0x4}}, {0xfff9, 0x3, {0x3, 0x1}}, {0xfff7, 0x800, {0x3, 0x8}}, {0x7fff, 0x2ba4, {0x0, 0x40}}, {0x7, 0xffff, {0x3, 0xffff}}, {0x0, 0xdb, {0x1, 0x9}}, {0x5, 0x7f6, {0x2, 0x800}}, {0xff, 0x9, {0x2, 0x100}}, {0x3, 0x5, {0x3, 0x6}}, {0x8, 0xd48, {0x3}}, {0x9, 0x9, {0x3, 0x6}}, {0x5, 0x508, {0x2, 0x7}}, {0x1, 0x3f, {0x1, 0xffff8000}}, {0x100, 0x1a0a, {0x1, 0x3}}, {0x8, 0x5, {0x3, 0x9}}, {0x4, 0x3, {0x2, 0xffffffff}}, {0x3ff, 0x9000, {0x1, 0x252}}, {0x9, 0x800, {0x2, 0x1}}, {0x7, 0x6, {0x1, 0x96}}, {0x2, 0x96, {0x0, 0x800}}, {0x7fff, 0x2, {0x3, 0xfffffffd}}, {0x0, 0x3, {0x1, 0x6}}, {0x80, 0x4, {0x3, 0xd96e}}, {0x0, 0x6, {0x2, 0xffffffff}}, {0x3ff, 0xd552, {0x3, 0xd8a}}, {0x3, 0x100, {0x1, 0x3f}}, {0x401, 0x9, {0x2, 0xffffffff}}, {0x950, 0x2, {0x2, 0x4}}]}}}, {{0x254, 0x1, {{0x1, 0x5b0fb176}, 0x6, 0x30, 0x9, 0x7, 0x27, 'syz0\x00', "2fcc2e475195fc2d124e241e9c6390b2494e98bc36f85d893ae93922879f7cf3", "9285484de03db09b29d6cb078237fd1bee4120283c76d1ffaba836ae9a4de599", [{0x1ff, 0xeb55, {0x3, 0x4}}, {0x1f, 0x8001}, {0x7, 0x7f00, {0x3, 0xfffffffc}}, {0x7, 0xa2b1, {0x3, 0x3ff}}, {0x800, 0x3, {0x2, 0x401}}, {0x4, 0x8, {0x0, 0x6}}, {0xe, 0x200, {0x0, 0x8}}, {0xfffa, 0x3, {0x3, 0x10001}}, {0x2, 0x3, {0x3, 0xffffffff}}, {0x200, 0x8a}, {0x20, 0x7, {0x1, 0xa1}}, {0x80, 0x4, {0x7ce79e7245a82dd4, 0x9}}, {0x74, 0x6, {0x2, 0x8001}}, {0x9, 0x7582, {0x1, 0x537}}, {0x100, 0xfbff, {0x2, 0x440}}, {0x200, 0x7fff, {0x0, 0x4}}, {0xffff, 0xff, {0x1, 0x3}}, {0x8, 0x8000, {0x3, 0x7f}}, {0x4, 0x31d, {0x0, 0x1}}, {0x9, 0x7, {0x2, 0x40}}, {0x3, 0x3, {0x1, 0x40}}, {0x361b, 0x2}, {0x9, 0xfff, {0x0, 0xdd}}, {0x800, 0x126d, {0x3, 0x5}}, {0x9, 0x0, {0x0, 0x400}}, {0x7f, 0x9, {0x1, 0x7}}, {0x5, 0x7, {0x2}}, {0xac5, 0x1beb, {0x2, 0x200}}, {0x0, 0x3, {0x0, 0xfff}}, {0x5, 0x5, {0x3, 0x3ff}}, {0x9c0, 0xa8d, {0x2, 0x80000001}}, {0xdcb0, 0x80, {0x2, 0x8001}}, {0x6, 0x6, {0x1, 0xfa76}}, {0x1, 0x4, {0x0, 0x80000000}}, {0x5, 0x5, {0x2, 0xffffffe1}}, {0x1, 0xfc01}, {0x7, 0x4, {0x3, 0x2}}, {0xfa, 0x2, {0x0, 0xfffffff9}}, {0x5, 0x5, {0x0, 0x7}}, {0xf1, 0x80, {0x2, 0x7}}]}}}, {{0x254, 0x1, {{0x1, 0x8}, 0x6, 0x80, 0x4, 0x7f21, 0x3, 'syz1\x00', "56f3d6e751ce0d9f3d01756eb6905caf1f3f37e32342251b1f62fbe393870409", "7fd08529b2b12a28b5183947989b767fa0a3699a49ed8071b5d12db1d9ce5cb5", [{0x7, 0xe78, {0x3, 0x5}}, {0xfff, 0x7, {0x0, 0xffff}}, {0x7, 0x5, {0x0, 0x1d3}}, {0x5, 0x3, {0x1, 0xfffffffb}}, {0x7fff, 0x8, {0x3, 0x6}}, {0x7, 0x9, {0x1, 0x3f}}, {0x2, 0x5}, {0x3, 0xa31, {0x1, 0xcb}}, {0x3, 0xbc85, {0x2, 0x70774bb4}}, {0x8, 0x1f, {0x0, 0x101}}, {0x9, 0x1b, {0x2, 0x3}}, {0x9a2, 0x0, {0x3, 0x1}}, {0x400, 0x8000, {0x2, 0x3b8}}, {0xa9, 0x3, {0x0, 0x3}}, {0x4, 0x1, {0x0, 0x3ff}}, {0x400, 0x2, {0x1, 0x7fffffff}}, {0x8, 0x80, {0x2, 0x1c}}, {0x4, 0x0, {0x0, 0x1}}, {0x840e, 0x2, {0x2, 0x9}}, {0x7, 0x0, {0x3, 0x2}}, {0x0, 0x3, {0x0, 0x5}}, {0xfffa, 0x0, {0x1, 0x1}}, {0xb0d4, 0x3c, {0x2, 0x80000000}}, {0x3, 0x0, {0x0, 0x8}}, {0x6, 0x1, {0x1, 0x2}}, {0x6, 0x4, {0x0, 0x10001}}, {0x1, 0x80, {0x2, 0x5a6}}, {0x4511, 0x5, {0x0, 0x1}}, {0x2, 0xffff, {0x1, 0x7f}}, {0x101, 0x0, {0x1, 0x8}}, {0x1c4, 0xe9d1, {0x0, 0xe4}}, {0x3, 0x1979, {0x1, 0x2}}, {0x100, 0x7fff, {0x2, 0x5}}, {0x5, 0x763, {0x2, 0x1ff}}, {0x7f, 0x2, {0x1, 0x5}}, {0x101, 0x6, {0x0, 0x1}}, {0x2, 0x6, {0x3, 0x4}}, {0xfff, 0x1, {0x2, 0x80000000}}, {0x8, 0x6, {0x2, 0x401}}, {0xffff, 0x3, {0x1, 0x8}}]}}}, {{0x254, 0x1, {{0x3, 0x7}, 0x49, 0x3f, 0x9, 0xba65, 0x10, 'syz1\x00', "f5be2a40c1a6dd0ebdda07a20f1faaeb82ffb1f75341355f107651fd4b15fc45", "2a985fb4c0c9bf7f53d98e1783e9db161085da13bc30c3f9e71e1437c97bee5e", [{0xd1c6, 0x7, {0x2, 0x9}}, {0x3, 0x2, {0x0, 0x2}}, {0x5491, 0x1, {0x1, 0x3}}, {0x91f, 0x8000, {0x0, 0x7}}, {0x3, 0x3ff, {0x3, 0x7}}, {0x7fff, 0xfffc, {0x3, 0x401}}, {0x3, 0x401, {0x0, 0x100}}, {0xfffd, 0x8000, {0x1, 0x2}}, {0x3f, 0x8000, {0x1, 0x4094}}, {0x0, 0x7, {0x1, 0x5}}, {0x72c8, 0x1, {0x0, 0x2}}, {0xfffa, 0x7, {0x0, 0x4}}, {0x8, 0x6, {0x3, 0xfffffffb}}, {0x0, 0x3, {0x1, 0x100}}, {0x9, 0x3, {0x2, 0x7}}, {0x3f, 0x8, {0x3, 0x10001}}, {0x100, 0x7, {0x3, 0x9}}, {0x401, 0x2a7b, {0x0, 0x3ff}}, {0x3, 0x5, {0x0, 0x8}}, {0x1, 0x6, {0x2, 0x6}}, {0x9, 0xd5f, {0x1, 0x5}}, {0x2362, 0xeef5, {0x0, 0x9}}, {0x6, 0x0, {0x0, 0x301}}, {0xfffd, 0x7ff, {0x0, 0x7c000000}}, {0xfff, 0x7ff, {0x1, 0x25e}}, {0x8, 0x8d93, {0x2, 0xfffffffe}}, {0xffdb, 0x3ff, {0x1, 0x7}}, {0x66e, 0x8001, {0x3}}, {0x3, 0x101, {0x3, 0x100}}, {0xfffd, 0xfff, {0x1, 0x80b}}, {0xffff, 0x9, {0x0, 0x68b66f2}}, {0x7a7e, 0xf538}, {0x1, 0x7f, {0x0, 0x1}}, {0x5, 0x7, {0x2}}, {0x3, 0xffe0, {0x1}}, {0xd3, 0x1, {0x1, 0x10001}}, {0xcc1, 0x7280}, {0xbb, 0x2, {0x0, 0x29720eb4}}, {0x5, 0x5, {0x1, 0x1}}, {0x1, 0x0, {0x1, 0x5}}]}}}, {{0x254, 0x1, {{0x1, 0xee}, 0xb7, 0x6, 0x1, 0x1, 0x13, 'syz1\x00', "64979784826ff8df2704582e61c6472d14db3017079938ab5e33f274aee2bc92", "dc74a507c491264b1ed7df8daf49f9f3ac549beb05b883cca7f564b1a290eded", [{0x979, 0x4, {0x39610f511438c37a, 0x40}}, {0x1, 0x1, {0x3, 0x8}}, {0x7, 0xffff, {0x1, 0x4}}, {0x49, 0x4, {0x3, 0x1}}, {0xf001, 0x0, {0x2, 0x9}}, {0x800, 0x0, {0x0, 0xa4}}, {0x0, 0x5, {0x3, 0x1}}, {0x0, 0x0, {0x0, 0x8}}, {0x4, 0x5, {0x1, 0x7e9}}, {0x200, 0x200, {0x2, 0x40}}, {0x7ff, 0xfff7, {0x2, 0x100}}, {0xfff8, 0x3f, {0x2, 0x80}}, {0x101, 0x8e6f, {0x1, 0x80000}}, {0x3ff, 0x4, {0x0, 0x80}}, {0x9, 0x2, {0x2, 0x5}}, {0x6, 0x8, {0x0, 0x4}}, {0xca2c, 0x6, {0x2, 0x8}}, {0x3, 0x2, {0x0, 0x6}}, {0x7, 0x6, {0x2, 0x2}}, {0x7, 0x7, {0x3, 0x4}}, {0x401, 0x2, {0x1, 0x81}}, {0x2, 0x2, {0x1, 0x7}}, {0x8, 0x5, {0x0, 0x7}}, {0xff81, 0x6, {0x2, 0x544e}}, {0xf, 0x0, {0x2, 0x81}}, {0x5, 0x3, {0x0, 0x4}}, {0x200, 0x7, {0x0, 0xe30}}, {0x80, 0x9, {0x1, 0x9}}, {0x4, 0x4, {0x3, 0x80000000}}, {0x7, 0x9, {0x3, 0x2}}, {0x6, 0x3ff, {0x0, 0x5}}, {0x0, 0x1, {0x0, 0x3}}, {0x400, 0x2, {0x1, 0x6c}}, {0x3, 0x7, {0x0, 0x100}}, {0x8001, 0x9, {0x3, 0x800}}, {0x4, 0x80, {0x1, 0x29}}, {0x0, 0x2, {0x0, 0x7ff}}, {0x1000, 0x80, {0x3, 0x1}}, {0x9, 0x80, {0x2, 0x7}}, {0x0, 0xfeff, {0x3, 0x5f8}}]}}}, {{0x254, 0x1, {{0x2, 0xc2}, 0x81, 0xb4, 0xff, 0x101, 0xe, 'syz0\x00', "427e3b0ca779f3e98535c4d832bc3f50f9b4f36e8b0eb20c4ace405143923f73", "b9351b0f199c171d4ff26070c743e9e1054723969aaa404946ebb9c8e94da24e", [{0x27, 0x9, {0x0, 0x9c}}, {0x7, 0x39c, {0x2, 0x81}}, {0x1ff, 0x3, {0x5, 0x8}}, {0x2223, 0xfffc, {0x3, 0xfff}}, {0x786, 0xff, {0x1, 0x12800000}}, {0xe2, 0x2d09, {0x3, 0x6}}, {0x7d9e, 0x5, {0x2, 0x7}}, {0x5, 0x0, {0x3, 0x5}}, {0x0, 0x7, {0x2, 0x67}}, {0x200, 0x40, {0x1, 0x2}}, {0xf78, 0xfff9, {0x1, 0x6f9}}, {0x5, 0xffff, {0x1, 0x401}}, {0x6, 0x4, {0x2, 0xfff}}, {0x0, 0x1, {0x0, 0x80000000}}, {0x3, 0x3, {0x1, 0x6c8}}, {0x4, 0x98f3, {0x3, 0x2}}, {0xfff, 0x2, {0x2, 0x10001}}, {0xffff, 0x7, {0x0, 0x6}}, {0xfe01, 0x88b, {0x2, 0x20}}, {0x800, 0x6, {0x2, 0x3201}}, {0x5, 0x7, {0x3, 0x8}}, {0x1ff, 0x7, {0x3, 0x7fff}}, {0x7, 0x1f, {0x0, 0xfff}}, {0x7, 0x7, {0x0, 0xcf}}, {0x7094, 0x3, {0x0, 0x5}}, {0x9e93, 0x3b, {0x1, 0xbfd}}, {0x4, 0x9, {0x2, 0x7f}}, {0x4c8, 0x6f0d, {0x0, 0x81}}, {0x0, 0x91, {0x3, 0x1}}, {0x7, 0x5, {0x1, 0x1}}, {0x8, 0x4, {0x3, 0x1}}, {0x1f, 0x20, {0x1, 0x1}}, {0xf1df, 0x48f, {0x0, 0x5}}, {0x9, 0x81, {0x34c2425ee785dd30, 0x1f}}, {0x9, 0x3420, {0x2, 0x5}}, {0x948, 0x0, {0x3, 0x81}}, {0x81, 0xf801, {0x0, 0x40}}, {0xff, 0x8, {0x1, 0x9}}, {0x3, 0x20, {0x2, 0x8}}, {0x5, 0x1, {0x3, 0xb420}}]}}}, {{0x254, 0x1, {{0x2, 0x6}, 0x4, 0x1, 0xffff, 0x401, 0x26, 'syz0\x00', "0b65daf8584e9cf6c7e27cad13ccf1f44c2cf8cb58e2726951496b5cb0803e49", "3a057577d92ccdfc06c969a5c1371ef640ad58cae8ae2b9019b5b6ea85c600b8", [{0x3, 0x1, {0x2, 0x3}}, {0x346, 0x1, {0x3}}, {0x5, 0x3, {0x2, 0x100}}, {0x100, 0x7, {0x1, 0x6d}}, {0x7a26, 0x9, {0x2, 0x5}}, {0x5e, 0x0, {0x2, 0xd}}, {0x7, 0x9, {0x3, 0xfffffe01}}, {0x3, 0x7, {0x2, 0xffffffff}}, {0x3, 0x401, {0x2, 0xd7}}, {0x4, 0x2, {0x2, 0x7a}}, {0x8, 0x0, {0x1, 0x501}}, {0x101, 0x4, {0x0, 0x9}}, {0x8000, 0xfff9, {0x3, 0x101}}, {0x1, 0x7ff, {0x2, 0x80000000}}, {0x7, 0x1, {0x0, 0xffffffff}}, {0xe6d6, 0x6, {0x1, 0x48}}, {0x101, 0x0, {0x2, 0x2}}, {0x2, 0x5, {0x1, 0xff}}, {0x4, 0x1, {0x1, 0x8}}, {0x1, 0x4, {0x0, 0x7ff}}, {0x401, 0x3, {0x3, 0x5}}, {0x1, 0x0, {0x0, 0x82de}}, {0x7ff, 0x7ff, {0x0, 0x2}}, {0x9, 0x81, {0x0, 0x803}}, {0x9, 0x4, {0x1, 0x81}}, {0x40, 0x2, {0x3, 0x1}}, {0x4, 0x904, {0x3, 0xff}}, {0x40, 0x385, {0x1, 0x200}}, {0x3, 0x80, {0x3, 0x8a}}, {0x7, 0x1, {0x0, 0x4}}, {0x200, 0x1ff, {0x3, 0x800}}, {0xffe1, 0x6, {0x1, 0x8}}, {0x6, 0x7, {0x2, 0x3}}, {0x59, 0x1, {0x0, 0x4}}, {0x1, 0x4, {0x1, 0x3}}, {0x1, 0x60, {0x0, 0x2}}, {0x5, 0x8, {0x1, 0x5}}, {0x8001, 0x5a, {0x1, 0x6}}, {0x1, 0x3, {0x3}}, {0x7fff, 0x3800, {0x1, 0x4}}]}}}, {{0x254, 0x1, {{0x2, 0xfffffffa}, 0x1f, 0x14, 0x9, 0x3, 0x13, 'syz0\x00', "1df52752b9c87cb7b1c01007b06b57718f8615ce7a674f58e3b6def41de516b3", "f031d8b3f25ce4ba3ed606e0923130fca8837b093b0e5c752e5cea10d3b577f2", [{0x3, 0x7, {0x1, 0x1}}, {0x0, 0x0, {0x2, 0x1}}, {0x7, 0xeda, {0x1, 0x3}}, {0x4, 0x2, {0x1, 0xff6}}, {0x2, 0x400, {0x1, 0x101}}, {0x200, 0x5, {0x2, 0x3}}, {0x8, 0x5, {0x0, 0x7}}, {0x6cca, 0x40, {0x0, 0x5}}, {0x37, 0xffff, {0x1, 0xfff}}, {0x3ff, 0x0, {0x3, 0xffffffe1}}, {0x9, 0x128, {0x2, 0x6}}, {0x3736, 0x5, {0x0, 0x3}}, {0x3ff, 0x40, {0x0, 0x2}}, {0x6, 0x4, {0x2, 0x3}}, {0x6, 0xffff, {0x0, 0x7}}, {0x1, 0x9, {0x2, 0x3}}, {0x9455, 0x989, {0x2, 0x64}}, {0x2, 0x8000, {0x3, 0xfffffffa}}, {0x2dd, 0x1e6, {0x2, 0x3f}}, {0xff, 0x80, {0x0, 0x1}}, {0x3f, 0x2000, {0x1, 0x7}}, {0xbfd9, 0x689, {0x2, 0x4f504285}}, {0x100, 0x1, {0x2, 0x7}}, {0x3, 0xfbd, {0x1, 0x8333}}, {0x0, 0x7, {0x3, 0x6}}, {0x3, 0x73, {0x3, 0xffffffff}}, {0x6, 0x7, {0x2, 0x1}}, {0x7fff, 0xf7bf, {0x2, 0x3}}, {0x4, 0x3, {0x0, 0x401}}, {0x5, 0x7fff, {0x0, 0x8}}, {0x3, 0x1, {0x1, 0x5}}, {0x5, 0x0, {0x2, 0x3}}, {0x3ff, 0x69a, {0x2, 0x3}}, {0x5, 0x6, {0x3, 0x6}}, {0x5, 0xfe, {0x3, 0x7}}, {0x6, 0x400, {0x2, 0x3}}, {0x7ff, 0x6, {0x2, 0x3}}, {0x8, 0x9, {0x1, 0xc12}}, {0x64f, 0x6, {0x2, 0x7ff}}, {0x4, 0x8, {0x1, 0x2}}]}}}]}, 0x12b4}, 0x1, 0x0, 0x0, 0x4800}, 0x10) r6 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r7 = syz_genetlink_get_family_id$nl802154(&(0x7f0000001980), 0xffffffffffffffff) sendmsg$NL802154_CMD_SET_SHORT_ADDR(r6, &(0x7f0000001a40)={&(0x7f0000001940)={0x10, 0x0, 0x0, 0x4}, 0xc, &(0x7f0000001a00)={&(0x7f00000019c0)={0x38, r7, 0x200, 0x70bd2c, 0x25dfdbfb, {}, [@NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_IFINDEX={0x8}, @NL802154_ATTR_WPAN_DEV={0xc, 0x6, 0x100000001}]}, 0x38}, 0x1, 0x0, 0x0, 0x8008004}, 0x54) ioctl$AUTOFS_DEV_IOCTL_READY(r4, 0xc0189376, &(0x7f0000001a80)={{0x1, 0x1, 0x18, r0, {0x8001}}, './file0\x00'}) ioctl$ifreq_SIOCGIFINDEX_wireguard(r2, 0x8933, &(0x7f0000001b00)={'wg1\x00', 0x0}) sendmsg$ETHTOOL_MSG_LINKSTATE_GET(r8, &(0x7f0000001c00)={&(0x7f0000001ac0)={0x10, 0x0, 0x0, 0x20}, 0xc, &(0x7f0000001bc0)={&(0x7f0000001b40)={0x6c, 0x0, 0x4, 0x70bd2d, 0x25dfdbfd, {}, [@HEADER={0x3c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'sit0\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'tunl0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r9}]}, @HEADER={0x1c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}]}]}, 0x6c}, 0x1, 0x0, 0x0, 0x40000}, 0x20000004) close(r8) syz_genetlink_get_family_id$batadv(&(0x7f0000001c40), 0xffffffffffffffff) syz_genetlink_get_family_id$batadv(&(0x7f0000001c80), r2) 15:17:19 executing program 1: ioctl$AUTOFS_DEV_IOCTL_READY(0xffffffffffffffff, 0xc0189376, &(0x7f0000000000)={{0x1, 0x1, 0x18, 0xffffffffffffffff, {0x6f79}}, './file0\x00'}) r1 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f0000000040)='./cgroup.cpu/syz1\x00', 0x200002, 0x0) close_range(r0, r1, 0x0) sendfile(r1, r0, &(0x7f0000000080)=0x5, 0x8) r2 = mmap$IORING_OFF_SQ_RING(&(0x7f0000ffa000/0x4000)=nil, 0x4000, 0x9, 0x10, r0, 0x0) r3 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) syz_io_uring_submit(r2, 0x0, &(0x7f00000000c0)=@IORING_OP_SPLICE={0x1e, 0x5, 0x0, @fd_index=0x4, 0x6, {0x0, r3}, 0x16, 0x4, 0x0, {0x0, 0x0, r0}}, 0x4) shmat(0xffffffffffffffff, &(0x7f0000ffb000/0x2000)=nil, 0x0) setsockopt$WPAN_SECURITY(r0, 0x0, 0x1, &(0x7f0000000100)=0x2, 0x4) r4 = fcntl$getown(r1, 0x9) r5 = accept(0xffffffffffffffff, &(0x7f0000000140)=@pptp={0x18, 0x2, {0x0, @remote}}, &(0x7f00000001c0)=0x80) fsetxattr$security_selinux(r5, &(0x7f0000000200), &(0x7f0000000240)='system_u:object_r:clock_device_t:s0\x00', 0x24, 0x0) ioctl$AUTOFS_IOC_READY(r1, 0x9360, 0xdc5) r6 = mmap$IORING_OFF_SQ_RING(&(0x7f0000ffb000/0x4000)=nil, 0x4000, 0x0, 0x10, r0, 0x0) r7 = syz_open_procfs$userns(r4, &(0x7f0000000280)) r8 = io_uring_register$IORING_REGISTER_PERSONALITY(r0, 0x9, 0x0, 0x0) syz_io_uring_submit(r6, 0x0, &(0x7f00000002c0)=@IORING_OP_READ=@use_registered_buffer={0x16, 0x2, 0x6000, @fd=r7, 0x2, 0x0, 0x0, 0x11, 0x0, {0x0, r8}}, 0x3ff) pipe(&(0x7f0000000340)={0xffffffffffffffff, 0xffffffffffffffff}) move_mount(r0, &(0x7f0000000300)='./file0\x00', r9, &(0x7f0000000380)='./file0\x00', 0x0) ioctl$INCFS_IOC_GET_FILLED_BLOCKS(r5, 0x80286722, &(0x7f0000000440)={&(0x7f00000003c0)=""/128, 0x80, 0xe14, 0xa20}) 15:17:19 executing program 2: socketpair(0x18, 0x80000, 0x81, &(0x7f0000000000)={0xffffffffffffffff, 0xffffffffffffffff}) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000080), 0xffffffffffffffff) sendmsg$NL80211_CMD_SET_BSS(r0, &(0x7f0000000180)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x40000000}, 0xc, &(0x7f0000000140)={&(0x7f00000000c0)={0x58, r2, 0x1, 0x70bd25, 0x25dfdbfe, {{}, {@val={0x8}, @val={0xc, 0x99, {0x10000, 0x35}}}}, [@NL80211_ATTR_P2P_OPPPS={0x5}, @NL80211_ATTR_BSS_HT_OPMODE={0x6, 0x6d, 0x3a3}, @NL80211_ATTR_AP_ISOLATE={0x5, 0x60, 0x4}, @NL80211_ATTR_AP_ISOLATE={0x5, 0x60, 0x20}, @NL80211_ATTR_BSS_CTS_PROT={0x5, 0x1c, 0x5}, @NL80211_ATTR_P2P_OPPPS={0x5}]}, 0x58}, 0x1, 0x0, 0x0, 0x40440c0}, 0x6) getsockopt$inet_tcp_int(r0, 0x6, 0xa, &(0x7f00000001c0), &(0x7f0000000200)=0x4) sendmsg$NL80211_CMD_CONNECT(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000240)={0x10, 0x0, 0x0, 0x10000}, 0xc, &(0x7f00000002c0)={&(0x7f0000000280)={0x30, r2, 0x1, 0x70bd2a, 0x25dfdbfb, {{}, {@void, @void}}, [@crypto_settings=[@NL80211_ATTR_CONTROL_PORT={0x4}, @NL80211_ATTR_WPA_VERSIONS={0x8}, @NL80211_ATTR_SOCKET_OWNER={0x4}], @NL80211_ATTR_PRIVACY={0x4}, @NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0xfe}]}, 0x30}, 0x1, 0x0, 0x0, 0x4004000}, 0x80) ioctl$FS_IOC_GETFSMAP(r1, 0xc0c0583b, &(0x7f0000000340)={0x0, 0x0, 0x7, 0x0, '\x00', [{0x5, 0x8000, 0xb0a0, 0x2, 0xffffffffffff8001, 0x20}, {0xfffffffe, 0x1, 0x8, 0x3, 0x1, 0x6}], ['\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00']}) sendmsg$NL80211_CMD_START_AP(r0, &(0x7f0000000680)={&(0x7f00000005c0), 0xc, &(0x7f0000000640)={&(0x7f0000000600)={0x18, r2, 0x200, 0x70bd26, 0x25dfdbff, {{}, {@void, @void}}, [@NL80211_ATTR_PBSS={0x4}]}, 0x18}, 0x1, 0x0, 0x0, 0x24040880}, 0x0) sendmsg$AUDIT_USER_AVC(r1, &(0x7f0000000780)={&(0x7f00000006c0)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000740)={&(0x7f0000000700)={0x28, 0x453, 0x800, 0x70bd2b, 0x25dfdbff, "d3c089cd3143df0898e9f490da3f93a887ade5f342", ["", "", ""]}, 0x28}, 0x1, 0x0, 0x0, 0x60010}, 0x20000000) ioctl$BTRFS_IOC_TREE_SEARCH(r0, 0xd0009411, &(0x7f00000007c0)={{0x0, 0x401, 0x6, 0x4, 0x3, 0x2, 0x3ff, 0x996, 0xd8f, 0x101, 0xfffffff8, 0xff, 0x7, 0x80000000, 0x6}}) ioctl$sock_SIOCGIFINDEX_80211(r0, 0x8933, &(0x7f0000001800)={'wlan0\x00', 0x0}) sendmsg$NL80211_CMD_VENDOR(r1, &(0x7f0000001a80)={&(0x7f00000017c0)={0x10, 0x0, 0x0, 0x100}, 0xc, &(0x7f0000001a40)={&(0x7f0000001840)={0x1fc, r2, 0x4, 0x70bd26, 0x25dfdbfe, {{}, {@void, @val={0x8, 0x3, r3}, @void}}, [@NL80211_ATTR_VENDOR_DATA={0x101, 0xc5, "37ef610c40258341c356a7d78c00b7ab14e1f8a17c59495c58e7f7e2aa7cddaacff9169e6399081828cac5dd272ecc6459ec9aafd25248dd3496f51d23feaa12d981d7967e94a2c6e64996eb4ce50aacfbf7b7417d2c805dbee8dc39d17d0b93eb239e617a5b98d4e869f6d27c45b44ad9d65453f44d705d1ddf9826a5631a58a338e1835a4b415a1502c160a7f8a3b29ef3559724f93f296d7eae2edec490777d8c1006f60e839a6e57483402b3ef5a3b7ec04675a801e28e2f593839f27d421055566ae3030d6f0072402c06e8f78da719d7d48f5b8fa5f121d679058f30fcbda7a89a22c4c4fcb73ddee9afc5f6a311c2c22478518c72b71140f772"}, @NL80211_ATTR_VENDOR_SUBCMD={0x8, 0xc4, 0x8}, @NL80211_ATTR_VENDOR_SUBCMD={0x8, 0xc4, 0x8}, @NL80211_ATTR_VENDOR_DATA={0xcc, 0xc5, "9722e6374d486f37c024ef80358e604ce00af1a23c4eb8cfb2887a51c8a8dc62f6f98c4a6c6734b9f3a6138c760740ef3aa91bf4121c898e3e24ad3d043530b29f785b9c06d18a1c875935836f704507f88ac48cb39c9ff63292cb64cfd93ffe0fb6c83d3f31802c719cf6689694a5b5f1f0c0a979f233b259af72945d37abd0cb78ea2581eebe8a6e459a3bc13d14b5ffa5d2a4a41ba45feaf7fe0c59639189d31357dee3968a89e6dffa556aef02bc43c90bdc900e48e9f664ca5a19b604277feb932cd8715b27"}]}, 0x1fc}, 0x1, 0x0, 0x0, 0xc040}, 0x40000000) r4 = socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NFT_BATCH(r1, &(0x7f0000003640)={&(0x7f0000001ac0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000003600)={&(0x7f0000001b00)={{0x14}, [@NFT_MSG_DELSETELEM={0x1980, 0xe, 0xa, 0x5, 0x0, 0x0, {0xb, 0x0, 0x7}, [@NFTA_SET_ELEM_LIST_ELEMENTS={0x1914, 0x3, 0x0, 0x1, [{0x1394, 0x0, 0x0, 0x1, [@NFTA_SET_ELEM_TIMEOUT={0xc, 0x4, 0x1, 0x0, 0x3}, @NFTA_SET_ELEM_OBJREF={0x9, 0x9, 'syz1\x00'}, @NFTA_SET_ELEM_DATA={0x2c0, 0x2, 0x0, 0x1, [@NFTA_DATA_VERDICT={0x6c, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffb}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0x3}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffe}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffc}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFTA_DATA_VALUE={0x87, 0x1, "2e548744399edd10e751d6575a846dde0f424e601ab10bca84aad754504636b9f455322b262f638df7dd31670391f87c01f2042eab2cc74d8d5c062b93442e404455b1903969fa6fa76e8f597e22fd51988881ca2dee42db33bbce9ff6ee24ffe45656418e63c94da53f9c7996d6dce4fea8d03d9f7d103a9e50050dc1e85519bdc371"}, @NFTA_DATA_VERDICT={0x48, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffc}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffb}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xffffffffffffffff}, @NFTA_VERDICT_CODE={0x8}]}, @NFTA_DATA_VERDICT={0x24, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xffffffffffffffff}]}, @NFTA_DATA_VERDICT={0x40, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0x440b0f161d438a27}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xffffffffffffffff}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CODE={0x8}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}]}, @NFTA_DATA_VALUE={0x21, 0x1, "102ae6d8eef32a4899c4ea05672cdf62027269fda3394bbb669e267583"}, @NFTA_DATA_VALUE={0xb2, 0x1, "baaafc208295e70c030cd7c0b67bc1ece0f7b8d9cc03832a70fcccd26b387a10a8cc6ceb5d4879fef9fd942ad8d3f536467139b35b2d22001e02eedc59a82264006cefaedc0066a20168dab82c38b4e3fc28e5337f6dac8f369a86ef3a6d6ed2bb271c83149ac38430ff622bd858d5008c7c32aa518711af67dbaa4c5a49d5041c9089933c2e45232627a19de6091ecc8b05d5b8864f00103be5e416cef11f22e72c83ad4a2770f52e630c321d49"}, @NFTA_DATA_VERDICT={0x44, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffd}, @NFTA_VERDICT_CODE={0x8}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffc}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffd}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffc}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffd}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xffffffffffffffff}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xcb8433964389b6a4}]}]}, @NFTA_SET_ELEM_KEY_END={0x10b4, 0xa, 0x0, 0x1, [@NFTA_DATA_VALUE={0x1004, 0x1, "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"}, @NFTA_DATA_VALUE={0xac, 0x1, "bd1c30a60cbcdabe4b1e2d90652a82c0df7687f888d309443c0b9332c4e9aefefee9ed564b57e99960a5c20034fc1e62726b034ce0bf6d224765db9fd55fea60df51e14954aa8965a9ea6666ad3e73ce68e0596ac91524247262c34251d5fee2ff80d5fe1b4080b14eb07ce6bbe9d2d4da1b9038502a2c67e8ffecb0d0b14015bf2225f6e3e4cfb27ccd2dcb1f7d6cccb28dcfb9b9958e063a221f9df018ebead80437e3bb8d12f5"}]}, @NFTA_SET_ELEM_EXPR={0x4}]}, {0x23c, 0x0, 0x0, 0x1, [@NFTA_SET_ELEM_DATA={0x114, 0x2, 0x0, 0x1, [@NFTA_DATA_VERDICT={0x10, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}]}, @NFTA_DATA_VERDICT={0x54, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xffffffffffffffff}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffe}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0x3}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xffffffffffffffff}]}, @NFTA_DATA_VERDICT={0x50, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffc}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffc}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0x6}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffd}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CODE={0x8}]}, @NFTA_DATA_VERDICT={0xc, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffd}]}, @NFTA_DATA_VERDICT={0x50, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffe}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffb}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffb}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffc}, @NFTA_VERDICT_CODE={0x8}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}]}]}, @NFTA_SET_ELEM_DATA={0xd4, 0x2, 0x0, 0x1, [@NFTA_DATA_VALUE={0xcd, 0x1, "eb26fcb406f3685c620ecd1a1c00ced494eb0c15a9dc1fe9825a40ca1d29d4efd22e8c3859d07c9199818fd867a7f6363b8a3abd2d24615fa290260c9d1a4407b3123ae044a2c6586ece8ea0a990c93bfaea40ac2b00816db3f3f4318818000dadcfed8c7add7a3c4b6484ce87106ba77f9c4b9ec917db47ca8ffb6125e7cc64ca0ca6e9edc697895dfd4b487cb0567df9aed8a42b7d2aa26c6a22dfedaff596bdafd306697b81de1c460b540331e9abda1c37f0e3f2559902a2dee7a80b06fb6c0b1c229d710a44b9"}]}, @NFTA_SET_ELEM_USERDATA={0x3f, 0x6, 0x1, 0x0, "14a06f288ce48cf3affda5bbe5a0c38f1f7f9bf37bbcb7a923c901565405057750e98121465599a98e00258f27b5099ba263766b381651e31a2c96"}, @NFTA_SET_ELEM_EXPR={0x4}, @NFTA_SET_ELEM_FLAGS={0x8, 0x3, 0x1, 0x0, 0x1}, @NFTA_SET_ELEM_EXPR={0x4}]}, {0x340, 0x0, 0x0, 0x1, [@NFTA_SET_ELEM_KEY={0xdc, 0x1, 0x0, 0x1, [@NFTA_DATA_VALUE={0x3c, 0x1, "ba0c40fc5af32058bd2c624ac1a4978acdec1a1f59ed3afa512c7e20fcf3be2beafec048a1401a66c1644987b97879aec7adf688fd362123"}, @NFTA_DATA_VALUE={0x99, 0x1, "c72a51b0308ab1387b7550ffe4484f60c01d593667a3115e11a6e25c7bb2d8dd08f7c74c010d3b287521f16a7b35abeb94e0cbb9d4ad61a4625d150e383979ffffe46fad222557b7b03470c5ce8cc70d804908463a24f9d3bbacef473c6467a714b968b6457fb585282ba3cfe54bbf37cca9cff5850d1e08d4f3390ed0e6810ff2272c9650f5b74b3da70f5f83f14febb34b8c2f17"}]}, @NFTA_SET_ELEM_KEY={0x1ac, 0x1, 0x0, 0x1, [@NFTA_DATA_VERDICT={0x10, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}]}, @NFTA_DATA_VERDICT={0x34, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffb}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffc}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}]}, @NFTA_DATA_VALUE={0x6a, 0x1, "5e5d73ef0737165ed61b07d00190ffea033fbe0517ecdabfe99ff6d3dc4ddf8f10f4d525bdfda73482c3247216a1d67847835c99029ea612400de711927ff7bf9c1923debcc20b08f807e9817420b708b65494c1a2603e82720685eca2bf76f74dfe7b5f3bee"}, @NFTA_DATA_VALUE={0x3c, 0x1, "0ad6557b5e1cc6a415598ca5e3cac9022c6b011700f11d7d0fb1d1952b70bb29535a4e1eecf188e286c3c2ea97f3b9d738c68c8fe11d32c2"}, @NFTA_DATA_VALUE={0xb9, 0x1, "01cc30933ce72226c8a45db24985ff95a678f3c56ebfe8bdea4623fb21c7bf852ca68e46521c9df7674c4efaf50d4dc39f15e5c03c89dd765b82138f8a674a6e798d3c893eefabd4999adb8fa28fa5bdbdb35ca9849a8c03d89c2256aaaef1db95ae2fd5fb6fbb6362eb3ff0b937a52bb5b05e02b58f11b8485aa87b6246f8fff0daee08e4a286cc87d570de2310ea2d715b80c5bb734e14743005bf02016b46b2523ea7242f7aa95a2038b0e263c62569334318b8"}]}, @NFTA_SET_ELEM_DATA={0xb4, 0x2, 0x0, 0x1, [@NFTA_DATA_VERDICT={0x50, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffe}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xffffffffffffffff}]}, @NFTA_DATA_VERDICT={0x20, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffe}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffd}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}]}, @NFTA_DATA_VERDICT={0x40, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffd}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffe}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}]}]}]}]}, @NFTA_SET_ELEM_LIST_SET={0x9, 0x2, 'syz1\x00'}, @NFTA_SET_ELEM_LIST_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_ELEM_LIST_SET={0x9, 0x2, 'syz0\x00'}, @NFTA_SET_ELEM_LIST_ELEMENTS={0x18, 0x3, 0x0, 0x1, [{0x14, 0x0, 0x0, 0x1, [@NFTA_SET_ELEM_USERDATA={0xe, 0x6, 0x1, 0x0, "1953c44c7355e7bea159"}]}]}, @NFTA_SET_ELEM_LIST_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_SET_ELEM_LIST_SET_ID={0x8}, @NFTA_SET_ELEM_LIST_SET_ID={0x8, 0x4, 0x1, 0x0, 0x1}]}, @NFT_MSG_DELRULE={0x8c, 0x8, 0xa, 0x3, 0x0, 0x0, {0x0, 0x0, 0x1}, [@NFTA_RULE_COMPAT={0x24, 0x5, 0x0, 0x1, [@NFTA_RULE_COMPAT_PROTO_IPV6={0x8, 0x1, 0x1, 0x0, 0x62}, @NFTA_RULE_COMPAT_PROTO_BRIDGE={0x8, 0x1, 0x1, 0x0, 0x8914}, @NFTA_RULE_COMPAT_PROTO_BRIDGE={0x8, 0x1, 0x1, 0x0, 0xfbfb}, @NFTA_RULE_COMPAT_PROTO_BRIDGE={0x8, 0x1, 0x1, 0x0, 0x6558}]}, @NFTA_RULE_COMPAT={0x1c, 0x5, 0x0, 0x1, [@NFTA_RULE_COMPAT_PROTO_IPV6={0x8}, @NFTA_RULE_COMPAT_FLAGS={0x8, 0x2, 0x1, 0x0, 0x2}, @NFTA_RULE_COMPAT_FLAGS={0x8, 0x2, 0x1, 0x0, 0x2}]}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz0\x00'}, @NFTA_RULE_USERDATA={0x29, 0x7, 0x1, 0x0, "8e95b7707cc1a8b08aa9b112da88c8fc97879fa515ec485ebbbdf1aaaefcd481d0458c8fdf"}]}, @NFT_MSG_DELTABLE={0x60, 0x2, 0xa, 0xa00, 0x0, 0x0, {0x3}, [@NFTA_TABLE_FLAGS={0x8}, @NFTA_TABLE_HANDLE={0xc, 0x4, 0x1, 0x0, 0x2}, @NFTA_TABLE_FLAGS={0x8, 0x2, 0x1, 0x0, 0x1}, @NFTA_TABLE_HANDLE={0xc, 0x4, 0x1, 0x0, 0x1}, @NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}, @NFTA_TABLE_NAME={0x9, 0x1, 'syz0\x00'}, @NFTA_TABLE_NAME={0x9, 0x1, 'syz1\x00'}]}, @NFT_MSG_NEWRULE={0x38, 0x6, 0xa, 0x201, 0x0, 0x0, {0x1}, [@NFTA_RULE_HANDLE={0xc, 0x3, 0x1, 0x0, 0x1}, @NFTA_RULE_POSITION={0xc, 0x6, 0x1, 0x0, 0x4}, @NFTA_RULE_CHAIN={0x9, 0x2, 'syz0\x00'}]}], {0x14}}, 0x1acc}, 0x1, 0x0, 0x0, 0x854}, 0xfa845726e886df91) sendmsg$IEEE802154_LLSEC_DEL_KEY(0xffffffffffffffff, &(0x7f0000003780)={&(0x7f0000003680)={0x10, 0x0, 0x0, 0x100}, 0xc, &(0x7f0000003740)={&(0x7f00000036c0)={0x48, 0x0, 0x10, 0x70bd27, 0x25dfdbff, {}, [@IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0302}}, @IEEE802154_ATTR_PAN_ID={0x6, 0x6, 0x1}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan4\x00'}, @IEEE802154_ATTR_SHORT_ADDR={0x6, 0x4, 0xaaa0}]}, 0x48}, 0x1, 0x0, 0x0, 0x40000}, 0x40000) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r4, 0x81f8943c, &(0x7f00000037c0)={0x0, ""/256, 0x0, 0x0, 0x0, 0x0, ""/16, ""/16, ""/16, 0x0}) ioctl$BTRFS_IOC_SNAP_DESTROY_V2(r4, 0x5000943f, &(0x7f00000039c0)={{r1}, r5, 0x18, @unused=[0x8, 0x800, 0x8001, 0x1], @devid}) sendmsg$DEVLINK_CMD_TRAP_GROUP_SET(r0, &(0x7f0000004bc0)={&(0x7f00000049c0)={0x10, 0x0, 0x0, 0xc800}, 0xc, &(0x7f0000004b80)={&(0x7f0000004a00)={0x15c, 0x0, 0x200, 0x70bd28, 0x25dfdbfb, {}, [{@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0xd}, {0x5, 0x83, 0x1}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0xd}, {0x5, 0x83, 0x1}}, {@pci={{0x8}, {0x11}}, {0xd}, {0x5, 0x83, 0x1}}, {@pci={{0x8}, {0x11}}, {0xd}, {0x5, 0x83, 0x1}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0xd}, {0x5}}, {@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0xd}, {0x5}}]}, 0x15c}}, 0x4000000) ioctl$sock_FIOGETOWN(r0, 0x8903, &(0x7f0000004c00)=0x0) fcntl$lock(r4, 0x5, &(0x7f0000004c40)={0x0, 0x2, 0x401, 0x5, r6}) ioctl$FS_IOC_SETFSLABEL(0xffffffffffffffff, 0x41009432, &(0x7f0000004cc0)="ba0600a33d3bdfc23e4f65ff04465c7c88140225afe41e581601ea40bbe165ee056ae12c21184e405cb50e45708d93d19c3be0be07d7512c3809e086d51bcddd5f67196981f39a137ff33ba52e3a8275c44e906185d3b82145477a0bd1293c84f96c2b1a36df44b0c0db8b7a9f09f71f4175f41c06d49da97253b06ec301273abbcc6b9e7ecb0c36cdead57286ecf8c0dd8714166f4bc96dd544ce23ec2bc4ca9a719bd2f82e134495fc4d29a9e7c509f4c38dc160904ddac076e51f105b98411fef9bfaa4c80106eb0684259cfde7fad7320f9d58d7c2d8042c8a81c2ea55189e54eb536194903d05fb0ebe126fb8662f1f2d0eaabbc0c1fc79917933b8193c") 15:17:19 executing program 4: r0 = syz_open_dev$tty1(0xc, 0x4, 0x1) ioctl$KDGKBDIACR(r0, 0x4b4a, &(0x7f0000000000)=""/255) pipe2(&(0x7f0000000100)={0xffffffffffffffff, 0xffffffffffffffff}, 0x4800) ioctl$PIO_UNIMAPCLR(r1, 0x4b68, &(0x7f0000000140)={0x6, 0xffff, 0x3}) r2 = syz_open_dev$mouse(&(0x7f0000000180), 0x4, 0x200000) ioctl$PIO_UNIMAP(r2, 0x4b67, &(0x7f0000000200)={0x8, &(0x7f00000001c0)=[{0x5, 0xffff}, {0x9, 0x7}, {0x401, 0x1000}, {0x2, 0xfff}, {0x5, 0x1}, {0x3, 0x13}, {0x8, 0x400}, {0x1f, 0x18b}]}) ioctl$AUTOFS_IOC_READY(r0, 0x9360, 0x9) ioctl$TIOCGSOFTCAR(r1, 0x5419, &(0x7f0000000240)) r3 = openat$null(0xffffffffffffff9c, &(0x7f0000000280), 0x100, 0x0) ioctl$SNDRV_SEQ_IOCTL_SET_QUEUE_INFO(r3, 0xc08c5335, &(0x7f00000002c0)={0x0, 0x1, 0x1, 'queue0\x00', 0x9}) r4 = syz_open_dev$ttys(0xc, 0x2, 0x1) ioctl$TIOCCONS(r4, 0x541d) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(0xffffffffffffffff, 0xc0189378, &(0x7f0000000380)={{0x1, 0x1, 0x18, 0xffffffffffffffff, {r4}}, './file0\x00'}) ioctl$VT_GETSTATE(r5, 0x5603, &(0x7f00000003c0)={0x3, 0x7, 0x81}) ioctl$TCGETS(r1, 0x5401, &(0x7f0000000400)) ioctl$KDGETKEYCODE(r2, 0x4b4c, &(0x7f0000000440)={0xfff, 0x3}) ioctl$INCFS_IOC_PERMIT_FILL(r3, 0x40046721, &(0x7f0000000480)={r1}) ioctl$AUTOFS_DEV_IOCTL_ISMOUNTPOINT(r3, 0xc018937e, &(0x7f00000004c0)={{0x1, 0x1, 0x18, r6, @in_args={0x2}}, './file0\x00'}) ioctl$EXT4_IOC_SWAP_BOOT(r7, 0x6611) fcntl$lock(r3, 0x26, &(0x7f0000000500)={0x1, 0x4, 0x4, 0x1, 0xffffffffffffffff}) 15:17:19 executing program 3: sendmsg$NLBL_CIPSOV4_C_ADD(0xffffffffffffffff, &(0x7f0000000180)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f0000000140)={&(0x7f0000000040)={0xc8, 0x0, 0x100, 0x70bd2d, 0x25dfdbfd, {}, [@NLBL_CIPSOV4_A_MLSCATLST={0xac, 0xc, 0x0, 0x1, [{0x3c, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x549e18a9}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x27d1}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x889e}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x334621e0}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x62895bb2}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x23c5}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x3fb7}]}, {0x14, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xeac}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x18793f41}]}, {0x14, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x12f1915}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x4a000000}]}, {0x1c, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x2aa98f18}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x3af89183}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x2100b06a}]}, {0x14, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0xe9eff35}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x35397a5a}]}, {0x14, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0xe2cd2c3}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xddfe}]}]}, @NLBL_CIPSOV4_A_DOI={0x8}]}, 0xc8}, 0x1, 0x0, 0x0, 0x20004890}, 0x800) sendmsg$NFNL_MSG_ACCT_NEW(0xffffffffffffffff, &(0x7f00000002c0)={&(0x7f00000001c0)={0x10, 0x0, 0x0, 0x100}, 0xc, &(0x7f0000000280)={&(0x7f0000000200)={0x74, 0x0, 0x7, 0x801, 0x0, 0x0, {0x3, 0x0, 0x2}, [@NFACCT_QUOTA={0xc, 0x6, 0x1, 0x0, 0x62}, @NFACCT_BYTES={0xc, 0x3, 0x1, 0x0, 0x5}, @NFACCT_QUOTA={0xc, 0x6, 0x1, 0x0, 0x20}, @NFACCT_NAME={0x9, 0x1, 'syz1\x00'}, @NFACCT_QUOTA={0xc, 0x6, 0x1, 0x0, 0xffffffffffff0001}, @NFACCT_PKTS={0xc, 0x2, 0x1, 0x0, 0x6c}, @NFACCT_QUOTA={0xc, 0x6, 0x1, 0x0, 0x54}, @NFACCT_PKTS={0xc, 0x2, 0x1, 0x0, 0x7}]}, 0x74}, 0x1, 0x0, 0x0, 0x6010}, 0x20000815) r0 = openat(0xffffffffffffff9c, &(0x7f0000000300)='./file0\x00', 0x101480, 0x4c) sendmsg$TIPC_NL_LINK_RESET_STATS(r0, &(0x7f0000000680)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x80}, 0xc, &(0x7f0000000640)={&(0x7f0000000380)={0x2a4, 0x0, 0x10, 0x70bd29, 0x25dfdbfe, {}, [@TIPC_NLA_MEDIA={0x38, 0x5, 0x0, 0x1, [@TIPC_NLA_MEDIA_PROP={0x34, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_WIN={0x8, 0x3, 0x1}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0x1}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0x5}, @TIPC_NLA_PROP_PRIO={0x8, 0x1, 0x14}, @TIPC_NLA_PROP_PRIO={0x8, 0x1, 0x13}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0x8001}]}]}, @TIPC_NLA_NODE={0x1a4, 0x6, 0x0, 0x1, [@TIPC_NLA_NODE_UP={0x4}, @TIPC_NLA_NODE_KEY={0x43, 0x4, {'gcm(aes)\x00', 0x1b, "7c30bf0d996fd58360eb1eeb1797bba984315b11b5199f3becdfc8"}}, @TIPC_NLA_NODE_UP={0x4}, @TIPC_NLA_NODE_ID={0x52, 0x3, "2844b3b49a08a5b806bbcefc20cbde880786fcb66e69ce442e495b839dd27555a0109ed5d314d1684fba9a06b44a66a2203c15f8fa74b7f86dcb3e0407694764e0b4213624ad4783272e6841b400"}, @TIPC_NLA_NODE_UP={0x4}, @TIPC_NLA_NODE_ID={0xad, 0x3, "8847a58159460c2e9fcce9ad83ddb0f5c88c3d15be8de645c1efe61bce8950f87759b8d231a6d23ce2acc48c99fbb330c571613d5b71dd529375ec413306eca5ed2c4b3eb00a3b68668389990c8f82bd6f1b52ceaf632b683736a0f090dd31acbf0ffb156070115ed2db40eccbc361b480a34b41d07b989698ddfc7a416fb4c32909ad0c618fa0efa59a67db44c41b92450f830b4707c41513a11d1d982714bd544cd8e218afb8cee9"}, @TIPC_NLA_NODE_KEY={0x48, 0x4, {'gcm(aes)\x00', 0x20, "f8bef124882486cddfcb4e8284c8294f80d965f944f0ecb7cd806d65aac9b70f"}}, @TIPC_NLA_NODE_UP={0x4}]}, @TIPC_NLA_NET={0x24, 0x7, 0x0, 0x1, [@TIPC_NLA_NET_ADDR={0x8, 0x2, 0x20}, @TIPC_NLA_NET_ID={0x8, 0x1, 0x1}, @TIPC_NLA_NET_ID={0x8, 0x1, 0x9b}, @TIPC_NLA_NET_ID={0x8, 0x1, 0x7249}]}, @TIPC_NLA_MON={0x2c, 0x9, 0x0, 0x1, [@TIPC_NLA_MON_ACTIVATION_THRESHOLD={0x8, 0x1, 0x7}, @TIPC_NLA_MON_ACTIVATION_THRESHOLD={0x8, 0x1, 0x1992}, @TIPC_NLA_MON_REF={0x8, 0x2, 0x7ff}, @TIPC_NLA_MON_REF={0x8, 0x2, 0x3}, @TIPC_NLA_MON_REF={0x8, 0x2, 0x1000}]}, @TIPC_NLA_NET={0x64, 0x7, 0x0, 0x1, [@TIPC_NLA_NET_NODEID_W1={0xc, 0x4, 0x7fffffff}, @TIPC_NLA_NET_ADDR={0x8, 0x2, 0x4}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0x400}, @TIPC_NLA_NET_NODEID_W1={0xc, 0x4, 0xffff}, @TIPC_NLA_NET_NODEID_W1={0xc, 0x4, 0xffffffff}, @TIPC_NLA_NET_ID={0x8}, @TIPC_NLA_NET_NODEID_W1={0xc, 0x4, 0x362}, @TIPC_NLA_NET_ADDR={0x8, 0x2, 0x40}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0x7fffffff}]}]}, 0x2a4}, 0x1, 0x0, 0x0, 0x4000000}, 0x4004044) r1 = openat$cgroup_ro(r0, &(0x7f00000006c0)='memory.events.local\x00', 0x0, 0x0) sendmsg$NL80211_CMD_SET_BEACON(r1, &(0x7f0000000c00)={&(0x7f0000000700)={0x10, 0x0, 0x0, 0x60000080}, 0xc, &(0x7f0000000bc0)={&(0x7f0000000740)={0x458, 0x0, 0x10, 0x70bd26, 0x25dfdbff, {{}, {@val={0x8}, @void}}, [@NL80211_ATTR_BEACON_TAIL={0x20, 0xf, [@channel_switch={0x25, 0x3, {0x1, 0x84, 0xfa}}, @mesh_config={0x71, 0x7, {0xffffffffffffffff, 0x0, 0xffffffffffffffff, 0x1, 0x0, 0xff, 0x80}}, @ext_channel_switch={0x3c, 0x4, {0x1, 0x3b, 0x30, 0x2}}, @peer_mgmt={0x75, 0x6, {0x0, 0x8001, @void, @val=0x18, @void}}]}, @NL80211_ATTR_IE_PROBE_RESP={0x139, 0x7f, [@mesh_config={0x71, 0x7, {0x1, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0x8, 0x1}}, @ibss={0x6, 0x2, 0x5}, @dsss={0x3, 0x1, 0x9}, @fast_bss_trans={0x37, 0x112, {0x0, 0x7, "d89a72711bd3544be88986254f82186b", "9db918a0887b30fcadd8260aca5b1a716bf9eebe24987427a7848ce5fad35086", "668a161548ab080527aee6d48ac84aa8deb11356d71b8e8a1b84f36f80e5c006", [{0x3, 0xb, "d9c73be7ee7afdacb46054"}, {0x1, 0x1a, "a66b267e3318a4ec2594dffd445928f87abd170af52098fa4986"}, {0x1, 0x17, "2a2821ce23a6518138f490eaac1b6782ac041e77720140"}, {0x2, 0x1e, "5ee25f751efdbab714d205b7edbcacdd72e42876dfc12a2526b5c4b5be96"}, {0x3, 0x28, "f69e9a94fe267d10aef43964cada43386bdf96e481b7b06abaa75c510d60836c3f49e0cc3547be87"}, {0x4, 0xb, "73e47857d25a6f3aedf9da"}, {0x4, 0x25, "6a3719710135131b828ab8ebb14a2f7021859f94395385590ac26f52a78c32b45e5ac747a9"}]}}, @mesh_chsw={0x76, 0x6, {0x58, 0x5, 0x3a, 0x4}}, @ext_channel_switch={0x3c, 0x4, {0x0, 0x4, 0x74}}, @challenge={0x10, 0x1, 0xe2}]}, @NL80211_ATTR_BEACON_TAIL={0x1b8, 0xf, [@dsss={0x3, 0x1, 0x40}, @cf={0x4, 0x6, {0x5, 0x81, 0x0, 0x1c8}}, @dsss={0x3, 0x1, 0x38}, @peer_mgmt={0x75, 0x4, {0x0, 0x6, @void, @void, @void}}, @prep={0x83, 0x25, @ext={{}, 0x20, 0x6, @device_a, 0x8, @device_a, 0x4, 0x800000, @device_b, 0x8}}, @random={0x72, 0xbc, "a7f83c882d25140dbbfa9095bf7c6b416d80e9ccec378d048efc50ee548bba0e3af48b5abb643d316201ac8f5b60c91b09acf72314bd695995e5ab7e9e6f17b91bc7bb4f9b71ce08140b5d296ff560ff9dfeeb356eb5d61c404f14bb81d46138682046c47d9a0ac418a70509aa4250cece17ab9fa511eb27cd729fc7ff22ec7e27443ab3ed9f10f3b47e1eaeeb3ad94e0b4519671f1d54649ff4c725c9ebc12decd196283f3b5821ed7ab03c2e83d4ebc6ee7b35cba4fb4049cb9f50"}, @random={0xe3, 0xb9, "eb920f7779cd6d105433b4214494ab142f9b8d3982392702502ef35a49f85d2381e29d57c605a730bd066f510d72f1dbdecc2c9a9a1b25847cd4505e985cd47449fae4c5200b49adc128c35fbe3532438b80bd3a09067ccd93db443ef58784957063041a4ea90c9f8d14f1e1470699d62159d8e06eb981867fe0bf6b8e6aea50f5be8d7d4f1aeb430fafba61504dc2eedfb60844c1f34a38986b7d94cfb6256540d6e7ac65c9e257cd77b20012fac4589160f60227a54fd427"}]}, @NL80211_ATTR_IE_ASSOC_RESP={0x28, 0x80, [@measure_req={0x26, 0x3, {0xff, 0x4, 0x7}}, @rann={0x7e, 0x15, {{0x0, 0x7f}, 0x0, 0x3, @broadcast, 0x4, 0x7, 0x3}}, @mesh_chsw={0x76, 0x6, {0x4, 0x20, 0x22}}]}, @NL80211_ATTR_IE_ASSOC_RESP={0x100, 0x80, [@ibss={0x6, 0x2, 0x4}, @gcr_ga={0xbd, 0x6, @device_b}, @fast_bss_trans={0x37, 0xc5, {0x2, 0x6, "4872bce23d5acc0e2f1e68cce12f84af", "538a401ac744195290ae20b6039a3255e67651f1459d859538107642c59a6e9f", "373483ab1c0e630cf3289d7085776b047e8886af3f9a6ff223f62ce4d253cae5", [{0x3, 0xd, "299bdfc502fc361ddacf838d2b"}, {0x1, 0x28, "60d16df067ea08eb438f7561cfaada469e033e5e8ba618544981074429f33d27d601f183f092c202"}, {0x1, 0x11, "6e6aded4dd9b22dced77ffa32dbb4cfdd9"}, {0x4, 0x19, "fe574b285cd90d4c5b3559f6270fefa9cc972025978d35f909"}, {0x1, 0x8, "4d5544fbb4bfeccd"}, {0x3}]}}, @mesh_chsw={0x76, 0x6, {0x47, 0xfa, 0x1f, 0xcd61}}, @channel_switch={0x25, 0x3, {0x1, 0x5, 0x8}}, @ht={0x2d, 0x1a, {0xc, 0x0, 0x2, 0x0, {0x9, 0x2, 0x0, 0x3, 0x0, 0x1, 0x0, 0x3}, 0x400, 0xbbb, 0xa9}}]}]}, 0x458}, 0x1, 0x0, 0x0, 0x20004804}, 0xc040) sendmsg$NFNL_MSG_CTHELPER_DEL(r0, &(0x7f0000000d00)={&(0x7f0000000c40)={0x10, 0x0, 0x0, 0x100000}, 0xc, &(0x7f0000000cc0)={&(0x7f0000000c80)={0x1c, 0x2, 0x9, 0x70f86c67767d1060, 0x0, 0x0, {0x5, 0x0, 0xa}, [@NFCTH_PRIV_DATA_LEN={0x8, 0x5, 0x1, 0x0, 0x10}]}, 0x1c}, 0x1, 0x0, 0x0, 0x4008080}, 0x0) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f0000000d80)={'wlan0\x00', 0x0}) sendmsg$NL80211_CMD_DEL_KEY(r0, &(0x7f0000000e80)={&(0x7f0000000d40)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000e40)={&(0x7f0000000dc0)={0x58, 0x0, 0x812cc629e5cb3165, 0x70bd2c, 0x25dfdbfc, {{}, {@val={0x8, 0x3, r2}, @void}}, [@NL80211_ATTR_MAC={0xa}, @NL80211_ATTR_KEY_DEFAULT_MGMT={0x4}, @NL80211_ATTR_KEY_DEFAULT_TYPES={0xc, 0x6e, 0x0, 0x1, [@NL80211_KEY_DEFAULT_TYPE_MULTICAST={0x4}, @NL80211_KEY_DEFAULT_TYPE_MULTICAST={0x4}]}, @NL80211_ATTR_KEY_CIPHER={0x8, 0x9, 0xfac05}, @NL80211_ATTR_KEY_TYPE={0x8, 0x37, 0x1}, @NL80211_ATTR_KEY_DEFAULT_MGMT={0x4}, @NL80211_ATTR_KEY_DATA_WEP40={0x9, 0x7, "7ad0f155cd"}]}, 0x58}, 0x1, 0x0, 0x0, 0x80}, 0x880) sendmsg$NFNL_MSG_CTHELPER_NEW(0xffffffffffffffff, &(0x7f0000001080)={&(0x7f0000000ec0)={0x10, 0x0, 0x0, 0x80}, 0xc, &(0x7f0000001040)={&(0x7f0000000f00)={0x124, 0x0, 0x9, 0x201, 0x0, 0x0, {0x3}, [@NFCTH_TUPLE={0x90, 0x2, [@CTA_TUPLE_IP={0x2c, 0x1, 0x0, 0x1, @ipv6={{0x14, 0x3, @private1}, {0x14, 0x4, @private1}}}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x2}, @CTA_TUPLE_PROTO={0xc, 0x2, 0x0, 0x1, {0x5, 0x1, 0x6}}, @CTA_TUPLE_IP={0x2c, 0x1, 0x0, 0x1, @ipv6={{0x14, 0x3, @local}, {0x14, 0x4, @private1}}}, @CTA_TUPLE_PROTO={0xc, 0x2, 0x0, 0x1, {0x5, 0x1, 0x91}}, @CTA_TUPLE_PROTO={0xc, 0x2, 0x0, 0x1, {0x5, 0x1, 0x84}}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x2}]}, @NFCTH_PRIV_DATA_LEN={0x8, 0x5, 0x1, 0x0, 0x6}, @NFCTH_NAME={0x9, 0x1, 'syz0\x00'}, @NFCTH_PRIV_DATA_LEN={0x8, 0x5, 0x1, 0x0, 0x9}, @NFCTH_TUPLE={0x5c, 0x2, [@CTA_TUPLE_IP={0x14, 0x1, 0x0, 0x1, @ipv4={{0x8, 0x1, @dev={0xac, 0x14, 0x14, 0x26}}, {0x8, 0x2, @multicast1}}}, @CTA_TUPLE_IP={0x14, 0x1, 0x0, 0x1, @ipv4={{0x8, 0x1, @initdev={0xac, 0x1e, 0x1, 0x0}}, {0x8, 0x2, @empty}}}, @CTA_TUPLE_PROTO={0xc, 0x2, 0x0, 0x1, {0x5, 0x1, 0x21}}, @CTA_TUPLE_IP={0x14, 0x1, 0x0, 0x1, @ipv4={{0x8, 0x1, @remote}, {0x8, 0x2, @private=0xa010102}}}, @CTA_TUPLE_ZONE={0x6, 0x3, 0x1, 0x0, 0x3}, @CTA_TUPLE_ZONE={0x6}]}, @NFCTH_STATUS={0x8, 0x6, 0x1, 0x0, 0x1}]}, 0x124}, 0x1, 0x0, 0x0, 0x2400c000}, 0x40894) syz_genetlink_get_family_id$netlbl_calipso(&(0x7f00000010c0), 0xffffffffffffffff) pipe2(&(0x7f0000001100)={0xffffffffffffffff}, 0x4000) r4 = syz_genetlink_get_family_id$nl80211(&(0x7f0000001180), r0) sendmsg$NL80211_CMD_TRIGGER_SCAN(r3, &(0x7f0000001380)={&(0x7f0000001140)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000001340)={&(0x7f00000011c0)={0x150, r4, 0x0, 0x70bd2d, 0x25dfdbfb, {{}, {@void, @val={0xc, 0x99, {0x3ff, 0x2e}}}}, [@NL80211_ATTR_SCHED_SCAN_RELATIVE_RSSI={0x5, 0xf6, 0x3}, @NL80211_ATTR_SCHED_SCAN_MATCH={0x28, 0x84, 0x0, 0x1, [@NL80211_SCHED_SCAN_MATCH_ATTR_RSSI={0x8, 0x2, 0x1}, @NL80211_SCHED_SCAN_MATCH_ATTR_SSID={0x1c, 0x1, @random="ac899d907214f1e74099472a6a715934bbf2bd2a8377d7c1"}]}, @NL80211_ATTR_MEASUREMENT_DURATION_MANDATORY={0x4}, @NL80211_ATTR_SCHED_SCAN_MATCH={0xd4, 0x84, 0x0, 0x1, [@NL80211_SCHED_SCAN_MATCH_ATTR_RSSI={0x8, 0x2, 0x4f}, @NL80211_SCHED_SCAN_MATCH_PER_BAND_RSSI={0x34, 0x6, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x8, 0x0, 0x15}, @NL80211_BAND_6GHZ={0x8, 0x3, 0x7fff}, @NL80211_BAND_5GHZ={0x8, 0x1, 0x6e73}, @NL80211_BAND_6GHZ={0x8, 0x3, 0x4}, @NL80211_BAND_6GHZ={0x8, 0x3, 0x9}, @NL80211_BAND_5GHZ={0x8, 0x1, 0x8001}]}, @NL80211_SCHED_SCAN_MATCH_ATTR_RSSI={0x8, 0x2, 0x10001}, @NL80211_SCHED_SCAN_MATCH_ATTR_BSSID={0xa, 0x5, @from_mac=@device_b}, @NL80211_SCHED_SCAN_MATCH_PER_BAND_RSSI={0x2c, 0x6, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x8, 0x0, 0x4}, @NL80211_BAND_60GHZ={0x8, 0x2, 0xfff}, @NL80211_BAND_60GHZ={0x8, 0x2, 0x8001}, @NL80211_BAND_6GHZ={0x8, 0x3, 0x7ff}, @NL80211_BAND_2GHZ={0x8, 0x0, 0xbfc2}]}, @NL80211_SCHED_SCAN_MATCH_PER_BAND_RSSI={0x3c, 0x6, 0x0, 0x1, [@NL80211_BAND_60GHZ={0x8, 0x2, 0x9}, @NL80211_BAND_5GHZ={0x8, 0x1, 0x4000}, @NL80211_BAND_2GHZ={0x8, 0x0, 0x1163e61}, @NL80211_BAND_6GHZ={0x8, 0x3, 0x2}, @NL80211_BAND_6GHZ={0x8, 0x3, 0xb9e8}, @NL80211_BAND_5GHZ={0x8, 0x1, 0x7}, @NL80211_BAND_6GHZ={0x8, 0x3, 0x4}]}, @NL80211_SCHED_SCAN_MATCH_ATTR_SSID={0xa, 0x1, @default_ap_ssid}, @NL80211_SCHED_SCAN_MATCH_ATTR_BSSID={0xa}]}, @NL80211_ATTR_SCAN_SSIDS={0x28, 0x2d, 0x0, 0x1, [{0xa, 0x0, @default_ap_ssid}, {0xa, 0x0, @default_ibss_ssid}, {0xa, 0x0, @default_ibss_ssid}]}]}, 0x150}, 0x1, 0x0, 0x0, 0x1}, 0x2) r5 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r6 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000001400), 0xffffffffffffffff) ioctl$sock_SIOCGIFINDEX_802154(0xffffffffffffffff, 0x8933, &(0x7f0000001440)={'wpan3\x00', 0x0}) ioctl$sock_SIOCGIFINDEX_802154(0xffffffffffffffff, 0x8933, &(0x7f0000001480)={'wpan3\x00', 0x0}) sendmsg$IEEE802154_LIST_IFACE(r5, &(0x7f0000001580)={&(0x7f00000013c0)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f0000001540)={&(0x7f00000014c0)={0x48, r6, 0x4, 0x70bd26, 0x25dfdbfe, {}, [@IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan1\x00'}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan0\x00'}, @IEEE802154_ATTR_DEV_INDEX={0x8, 0x2, r7}, @IEEE802154_ATTR_DEV_INDEX={0x8, 0x2, r8}, @IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan0\x00'}]}, 0x48}, 0x1, 0x0, 0x0, 0x4000}, 0x40001) sendmsg$IEEE802154_ADD_IFACE(r5, &(0x7f0000001680)={&(0x7f00000015c0)={0x10, 0x0, 0x0, 0x1}, 0xc, &(0x7f0000001640)={&(0x7f0000001600)={0x40, r6, 0x10, 0x70bd27, 0x25dfdbfc, {}, [@IEEE802154_ATTR_DEV_TYPE={0x5, 0x20, 0x1}, @IEEE802154_ATTR_PHY_NAME={0x9, 0x1f, 'phy3\x00'}, @IEEE802154_ATTR_HW_ADDR={0xc}, @IEEE802154_ATTR_PHY_NAME={0x9, 0x1f, 'phy3\x00'}]}, 0x40}, 0x1, 0x0, 0x0, 0x801}, 0x20004800) [ 64.005138] audit: type=1400 audit(1664637439.971:6): avc: denied { execmem } for pid=288 comm="syz-executor.1" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=process permissive=1 15:17:19 executing program 5: r0 = syz_io_uring_setup(0x46a8, &(0x7f0000000000)={0x0, 0x44d8, 0x8, 0x2, 0xaf}, &(0x7f0000ffb000/0x2000)=nil, &(0x7f0000ffa000/0x4000)=nil, &(0x7f0000000080)=0x0, &(0x7f00000000c0)=0x0) r3 = io_uring_setup(0x542f, &(0x7f0000000100)={0x0, 0xf906, 0x10, 0x0, 0x2f5, 0x0, r0}) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x2000000, 0x1010, r0, 0x8000000) read(r3, &(0x7f0000000180)=""/205, 0xcd) sendfile(r3, r3, &(0x7f0000000280)=0xfffffffffffffff7, 0x4) r4 = openat$procfs(0xffffffffffffff9c, &(0x7f00000002c0)='/proc/bus/input/handlers\x00', 0x0, 0x0) r5 = io_uring_register$IORING_REGISTER_PERSONALITY(r4, 0x9, 0x0, 0x0) syz_io_uring_submit(r1, r2, &(0x7f0000000300)=@IORING_OP_WRITE_FIXED={0x5, 0x2, 0x4007, @fd, 0x9b92, 0x7, 0x7, 0x2, 0x1, {0x3, r5}}, 0x8000) io_uring_register$IORING_UNREGISTER_BUFFERS(r3, 0x1, 0x0, 0x0) r6 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000000340)='loginuid\x00') ioctl$PERF_EVENT_IOC_ID(r6, 0x80082407, &(0x7f0000000380)) r7 = openat$zero(0xffffffffffffff9c, &(0x7f00000003c0), 0x30000, 0x0) fcntl$dupfd(r3, 0x406, r7) r8 = open(&(0x7f0000000400)='./file0\x00', 0x10100, 0x86) io_uring_register$IORING_REGISTER_FILES_UPDATE(r8, 0x6, &(0x7f0000000480)={0x4, 0x0, &(0x7f0000000440)=[0xffffffffffffffff, r7, r6, r4]}, 0x4) syz_io_uring_setup(0x51a7, &(0x7f00000004c0)={0x0, 0xc01f, 0x0, 0x3, 0x186}, &(0x7f0000ffa000/0x4000)=nil, &(0x7f0000ffd000/0x1000)=nil, &(0x7f0000000540)=0x0, &(0x7f0000000580)) syz_io_uring_complete(r9) r10 = openat$bsg(0xffffffffffffff9c, &(0x7f00000005c0), 0x43, 0x0) io_uring_enter(r10, 0x198d, 0xe45c, 0x1, &(0x7f0000000600)={[0xff]}, 0x8) getpeername$packet(r6, &(0x7f0000000640)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @broadcast}, &(0x7f0000000680)=0x14) 15:17:19 executing program 6: write$binfmt_elf32(0xffffffffffffffff, &(0x7f0000000000)={{0x7f, 0x45, 0x4c, 0x46, 0x4, 0x1, 0x0, 0x7, 0x1, 0x3, 0x3e, 0x7fffffff, 0x143, 0x38, 0x8, 0x800, 0x3a78, 0x20, 0x2, 0x3ff, 0xff, 0xfff}, [{0x3, 0x0, 0x2d, 0x9, 0x20c, 0x10000, 0xffff3a68, 0x8000}, {0x5, 0x1, 0x0, 0x10000, 0x800, 0xffffffff, 0x2, 0x80000001}], "5a66d05b69f5c1739098c21c909c0557496cbf31c75966cc89b8092e3e659d245237e44a5d65f7ef7f3c6e074b8a3f359ceec80989090afc00470431f6bc8c0ec3936410f29e9eed3842440c4e0583031a42374d7cbe601b659f5daa8dd2e9a340d385910a6c97a1d7fe2fc6974ac6edd3051ec3c16a66cf8f6d530549a41f94fe797049cc136ab918abbcf8d58f2bffc4a709", ['\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00']}, 0x90b) ioctl$EXT4_IOC_GROUP_ADD(0xffffffffffffffff, 0x40286608, &(0x7f0000000940)={0xffffff83, 0x1f0, 0xfffffffffffffffb, 0x3, 0x8, 0x5}) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x8, 0x2010, 0xffffffffffffffff, 0x8000000) r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000980)='/proc/bus/input/devices\x00', 0x0, 0x0) ioctl$BTRFS_IOC_QUOTA_RESCAN_WAIT(r0, 0x942e, 0x0) close(r0) r1 = fcntl$dupfd(r0, 0x406, r0) r2 = seccomp$SECCOMP_SET_MODE_FILTER_LISTENER(0x1, 0xa, &(0x7f0000000a00)={0x4, &(0x7f00000009c0)=[{0x2, 0x40, 0x5, 0x1ff}, {0x1, 0x9, 0xce, 0x726}, {0x1, 0xfa, 0x1, 0xded}, {0x7ff, 0x1f, 0x40, 0x1ff}]}) ppoll(&(0x7f0000000a40)=[{r0, 0x2008}, {r0, 0x200}, {r1, 0x8044}, {r2, 0x20}, {r0, 0x4040}], 0x5, &(0x7f0000000a80)={0x77359400}, &(0x7f0000000ac0)={[0x5]}, 0x8) sendmsg$DEVLINK_CMD_SB_OCC_MAX_CLEAR(r0, &(0x7f0000000bc0)={&(0x7f0000000b00)={0x10, 0x0, 0x0, 0x1000}, 0xc, &(0x7f0000000b80)={&(0x7f0000000b40)={0x3c, 0x0, 0x4, 0x70bd27, 0x25dfdbfc, {}, [{@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0x8, 0xb, 0x5}}]}, 0x3c}, 0x1, 0x0, 0x0, 0x50}, 0x40815) ioctl$sock_inet_SIOCSIFADDR(r0, 0x8916, &(0x7f0000000c00)={'ip6_vti0\x00', {0x2, 0x0, @local}}) fchown(r0, 0xee01, 0xffffffffffffffff) r3 = dup2(r0, r0) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(r3, 0xc018937a, &(0x7f0000000c40)={{0x1, 0x1, 0x18, r1}, './file0\x00'}) setsockopt$inet6_group_source_req(r4, 0x29, 0x2e, &(0x7f0000000c80)={0x1, {{0xa, 0x4e23, 0xf46, @local, 0x1}}, {{0xa, 0x4e21, 0xd2b, @local, 0x6}}}, 0x108) ioctl$BTRFS_IOC_TREE_SEARCH_V2(r4, 0xc0709411, &(0x7f0000000dc0)={{0x0, 0x81, 0xffffffff, 0x9, 0xff, 0x2, 0x2, 0x0, 0x20, 0xe1, 0x4, 0x678555b4, 0x9, 0x401, 0x100000000}, 0x8, [0x0]}) ioctl$BTRFS_IOC_TREE_SEARCH(r3, 0xd0009411, &(0x7f0000000e40)={{r5, 0xffffffffffffffff, 0x7, 0x0, 0xffffffff, 0x80000001, 0x9, 0xd8, 0x5, 0x101, 0x2, 0x60aae59b, 0xffffffffffffffe1, 0x2, 0x7fff}}) getsockopt$inet6_int(r0, 0x29, 0x34, &(0x7f0000001e40), &(0x7f0000001e80)=0x4) r6 = openat$incfs(r4, &(0x7f0000001ec0)='.log\x00', 0x14600, 0x40) write$P9_RRENAME(r6, &(0x7f0000001f00)={0x7, 0x15, 0x2}, 0x7) 15:17:20 executing program 7: sendmsg$IPSET_CMD_PROTOCOL(0xffffffffffffffff, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000080)={&(0x7f0000000040)={0x3c, 0x1, 0x6, 0x401, 0x0, 0x0, {0xa, 0x0, 0x8}, [@IPSET_ATTR_PROTOCOL={0x5}, @IPSET_ATTR_PROTOCOL={0x5}, @IPSET_ATTR_PROTOCOL={0x5}, @IPSET_ATTR_PROTOCOL={0x5}, @IPSET_ATTR_PROTOCOL={0x5}]}, 0x3c}, 0x1, 0x0, 0x0, 0xa000845}, 0x6c084) sendmsg$SOCK_DESTROY(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x40}, 0xc, &(0x7f00000002c0)={&(0x7f0000000140)={0x148, 0x15, 0x100, 0x70bd27, 0x25dfdbfc, {0x29, 0xb}, [@INET_DIAG_REQ_BYTECODE={0x20, 0x1, "19c86c17be5ac7cc78f376107a17572d5eb08bbe36abf52fcfb55c7f"}, @INET_DIAG_REQ_BYTECODE={0x32, 0x1, "b28ee73c97d8c51f95fc410a035be50b8636f0331d1e3e2d07236510e1d80590d7cfe4375ef68abe5a880b9ba48d"}, @INET_DIAG_REQ_BYTECODE={0x31, 0x1, "01027dc3e43e41bba906a9ee81b9966cedc7a1d323fa843bcc3930d354e222f96da8643076b16b451d3bbae2c6"}, @INET_DIAG_REQ_BYTECODE={0xac, 0x1, "9b5d42b8834a8e58385e485bfcb853b9e88c66c980b6ea026edeadcfd9928d165c0ed30af346f27ede80181fd11c092da7fe61f73fd337f268267a56d33a71711473b02c3d89db3b4afa1a7acc2d17b8db6749849b2860776608e0f5485b3ad66807f1e9a88253791fb4b8fb945d765bac61238f725374ba4db414549aef8c0a94faa4b705f2e1547a932f364b4763772875d251f244438f4e497390f97abec257d114f80b433c3c"}]}, 0x148}, 0x1, 0x0, 0x0, 0x4080}, 0x4090) r0 = syz_genetlink_get_family_id$batadv(&(0x7f0000000380), 0xffffffffffffffff) sendmsg$BATADV_CMD_GET_BLA_CLAIM(0xffffffffffffffff, &(0x7f0000000440)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x80000000}, 0xc, &(0x7f0000000400)={&(0x7f00000003c0)={0x2c, r0, 0x201, 0x70bd26, 0x25dfdbfb, {}, [@BATADV_ATTR_ORIG_INTERVAL={0x8, 0x39, 0x6}, @BATADV_ATTR_GW_BANDWIDTH_DOWN={0x8, 0x31, 0xd9d5}, @BATADV_ATTR_ORIG_INTERVAL={0x8, 0x39, 0xfff}]}, 0x2c}, 0x1, 0x0, 0x0, 0x20008800}, 0x4000000) ioctl$sock_SIOCDELRT(0xffffffffffffffff, 0x890c, &(0x7f00000004c0)={0x0, @ethernet={0x306, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x2}}, @xdp={0x2c, 0x1, 0x0, 0x27}, @qipcrtr={0x2a, 0xffffffff, 0xfffffffe}, 0x6, 0x0, 0x0, 0x0, 0xf543, 0x0, 0x4, 0x9f, 0x9}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, &(0x7f00000005c0)={'sit0\x00', &(0x7f0000000540)={'syztnl0\x00', 0x0, 0x29, 0x3f, 0xc, 0xffffffff, 0x4, @dev={0xfe, 0x80, '\x00', 0x33}, @private2={0xfc, 0x2, '\x00', 0x1}, 0x10, 0x7, 0x194, 0x3f}}) accept4$packet(0xffffffffffffffff, &(0x7f0000000600)={0x11, 0x0, 0x0}, &(0x7f0000000640)=0x14, 0x80000) ioctl$sock_ipv4_tunnel_SIOCDELTUNNEL(0xffffffffffffffff, 0x89f2, &(0x7f0000000740)={'ip_vti0\x00', &(0x7f00000006c0)={'erspan0\x00', 0x0, 0x80, 0x8, 0x3, 0x1, {{0xa, 0x4, 0x2, 0x13, 0x28, 0x68, 0x0, 0x5d, 0x29, 0x0, @private=0xa010101, @dev={0xac, 0x14, 0x14, 0x30}, {[@generic={0x83, 0x11, "73e29b40d413eafe56a551682a251b"}]}}}}}) getsockopt$inet6_IPV6_IPSEC_POLICY(0xffffffffffffffff, 0x29, 0x22, &(0x7f0000000780)={{{@in=@multicast1, @in=@multicast2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in=@loopback}, 0x0, @in6=@private2}}, &(0x7f0000000880)=0xe8) getsockopt$inet_mreqn(0xffffffffffffffff, 0x0, 0x23, &(0x7f00000008c0)={@empty, @empty, 0x0}, &(0x7f0000000900)=0xc) sendmsg$ETHTOOL_MSG_LINKINFO_GET(0xffffffffffffffff, &(0x7f0000000b40)={&(0x7f0000000480)={0x10, 0x0, 0x0, 0x400000}, 0xc, &(0x7f0000000b00)={&(0x7f0000000940)={0x1ac, 0x0, 0x8, 0x70bd25, 0x25dfdbfd, {}, [@HEADER={0x38, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r1}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'syz_tun\x00'}]}, @HEADER={0x2c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'macvlan0\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'vcan0\x00'}]}, @HEADER={0xc, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}]}, @HEADER={0x48, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r2}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth1_macvtap\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r3}]}, @HEADER={0x4c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r4}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8}]}, @HEADER={0x50, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r5}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'nr0\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'gretap0\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'nr0\x00'}]}, @HEADER={0x44, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'bond_slave_0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r6}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'rose0\x00'}]}]}, 0x1ac}, 0x1, 0x0, 0x0, 0x8880}, 0x10) r7 = openat$null(0xffffffffffffff9c, &(0x7f0000000b80), 0x44c880, 0x0) sendmsg$NL80211_CMD_SET_TX_BITRATE_MASK(r7, &(0x7f0000001880)={&(0x7f0000000bc0)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f0000001840)={&(0x7f0000000c00)={0xc34, 0x0, 0x400, 0x70bd29, 0x25dfdbfc, {{}, {@val={0x8}, @void}}, [@NL80211_ATTR_TX_RATES={0x2c0, 0x5a, 0x0, 0x1, [@NL80211_BAND_6GHZ={0x20, 0x3, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_LEGACY={0x11, 0x1, [0x36, 0x1, 0x4, 0x1, 0x12, 0x5, 0x12, 0x4, 0x3, 0x9, 0x6c, 0x9, 0x1]}]}, @NL80211_BAND_6GHZ={0x3c, 0x3, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x12, 0x1, [0x1, 0xb, 0x12, 0x24, 0x6c, 0x30, 0x18, 0x3, 0x12, 0x2, 0x5, 0x16, 0x6, 0x9]}, @NL80211_TXRATE_LEGACY={0xd, 0x1, [0x48, 0x5, 0x18, 0x4, 0x1b, 0x3, 0x1, 0x6c, 0x1b]}, @NL80211_TXRATE_LEGACY={0x13, 0x1, [0x24, 0x50, 0x60, 0xb, 0x36, 0x48, 0x30, 0x60, 0x1, 0xb, 0x4, 0x60, 0x9, 0x36, 0x1b]}]}, @NL80211_BAND_60GHZ={0x2c, 0x2, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x1e, 0x1, [0x12, 0xb, 0x2f, 0x9, 0x48, 0x48, 0x3, 0x4, 0x30, 0x9, 0x24, 0x3, 0x2, 0xb, 0x60, 0x30, 0x6c, 0x1, 0xc, 0x12, 0x3, 0x1b, 0x6c, 0x6c, 0x12, 0x24]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}]}, @NL80211_BAND_2GHZ={0xc4, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0xc, 0x1, [0x48, 0x48, 0x3, 0x6, 0x11, 0x36, 0x2, 0x53]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x3e, 0x2, [{0x1, 0x6}, {0x0, 0x8}, {0x3, 0x7}, {0x5}, {0x0, 0x3}, {0x0, 0x2}, {0x0, 0x6}, {0x0, 0x8}, {0x3, 0x5}, {0x4, 0x5}, {0x1, 0x7}, {0x1, 0x3}, {0x1, 0x4}, {0x1, 0x9}, {0x2, 0x4}, {0x2}, {0x3, 0x7}, {0x0, 0xa}, {0x0, 0x3}, {0x0, 0x8}, {0x0, 0xa}, {0x1, 0x5}, {0x4, 0x1}, {0x2, 0x4}, {0x1, 0x4}, {0x7}, {0x3, 0x5}, {0x4, 0x9}, {0x2, 0x9}, {0x0, 0xa}, {0x0, 0x4}, {0x5, 0x5}, {0x1, 0x9}, {0x7, 0x6}, {0x4, 0x2}, {0x5, 0x8}, {0x5}, {0x6, 0x6}, {0x5, 0x8}, {0x2, 0x9}, {0x3}, {0x3, 0x1}, {0x0, 0x2}, {0x4, 0x7}, {0x2, 0x2}, {0x3, 0x1}, {0x7}, {0x6, 0x8}, {0x5}, {0x5, 0x3}, {0x2}, {0x7, 0x6}, {0x2, 0xa}, {0x4, 0x4}, {0x7, 0x4}, {0x1}, {0x5, 0x8}, {0x4, 0x6}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x5, 0x42a8, 0x3, 0x1f, 0x7, 0xcfee, 0xfff]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x48, 0x2, [{0x0, 0x2}, {0x5, 0x4}, {0x5, 0x9}, {0x1, 0x5}, {0x5, 0x9}, {0x4, 0x6}, {0x3, 0x1}, {0x7, 0xa}, {0x4, 0x2}, {0x0, 0x8}, {0x1, 0x4}, {}, {0x2, 0x8}, {0x5, 0x9}, {0x0, 0x3}, {0x1, 0x4}, {0x4, 0x7}, {0x0, 0x3}, {0x5, 0x3}, {0x1, 0x2}, {}, {0x3, 0x5}, {0x4, 0x4}, {0x0, 0x1}, {}, {0x6, 0x2}, {0x7, 0x1}, {0x7, 0x2}, {0x2, 0x6}, {0x6, 0x7}, {0x7, 0x1}, {0x4, 0x9}, {0x7, 0x6}, {0x4, 0x7}, {0x6, 0x2}, {0x6, 0x3}, {0x4}, {0x7, 0x8}, {0x4, 0x5}, {0x5, 0x9}, {0x0, 0x8}, {0x5, 0x8}, {0x3, 0xa}, {0x7, 0x4}, {0x3, 0x4}, {0x6, 0xa}, {0x6, 0x4}, {0x7, 0x1}, {0x4, 0x1}, {0x0, 0x6}, {0x6, 0x5}, {0x5, 0x3}, {0x0, 0x13}, {0x1, 0x2}, {0x3, 0x1}, {0x3, 0x1}, {0x1, 0x6}, {0x2, 0x6}, {0x0, 0xa}, {0x7, 0x2}, {0x2, 0x5}, {0x2, 0x8}, {0x3, 0x3}, {0x7, 0x2}, {0x7, 0x2}, {0x7, 0x7}, {0x0, 0x3}, {0x7, 0xa}]}]}, @NL80211_BAND_60GHZ={0x60, 0x2, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x20, 0x1, [0x6, 0x6c, 0x6, 0x4, 0x6c, 0x3, 0x2, 0x4b, 0xc, 0x0, 0x12, 0x18, 0x12, 0x30, 0x36, 0x1, 0x9, 0x3, 0x0, 0x12, 0x48, 0x6c, 0x16, 0x30, 0x1, 0x60, 0x4, 0x18]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xc4, 0x7, 0x3, 0xb05, 0x8000, 0x6, 0x2c, 0x101]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x4}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xd, 0x3, 0xfff, 0x3, 0xd62, 0x100, 0x400, 0x4]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}, @NL80211_BAND_2GHZ={0xec, 0x0, 0x0, 0x1, [@NL80211_TXRATE_HT={0x16, 0x2, [{0x4, 0x1}, {0x3}, {0x1, 0x4}, {0x7}, {0x1, 0x5}, {0x2, 0x5}, {0x0, 0x2}, {0x0, 0x8}, {0x5, 0x8}, {0x4, 0x8}, {0x5, 0x7}, {0x0, 0x8}, {0x2, 0x7}, {0x6, 0x3}, {0x6, 0x8}, {0x1}, {0x0, 0xa}, {0x1, 0xa}]}, @NL80211_TXRATE_LEGACY={0x1d, 0x1, [0x14, 0x6, 0x36, 0x60, 0x9, 0x24, 0x1b, 0x16, 0x60, 0x4, 0x9, 0xc, 0x48, 0x0, 0x16, 0xb, 0x60, 0x1, 0x16, 0x1b, 0x60, 0x641f5f915000bdc6, 0x18, 0x3, 0x2]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x40, 0x2, [{0x1, 0x3}, {0x5, 0x8}, {0x7, 0xa}, {0x1, 0x2}, {0x3, 0x8}, {0x2, 0x8}, {0x0, 0x5}, {0x4, 0xa}, {0x2, 0xa}, {0x0, 0x3}, {0x1, 0x5}, {0x0, 0x5}, {0x7, 0x9}, {0x2, 0x6}, {0x5, 0x7}, {0x3, 0x6}, {0x2, 0x8}, {0x4, 0x5}, {0x6}, {0x7, 0x7}, {0x1, 0x9}, {0x2, 0x3}, {0x5, 0x4}, {0x0, 0x5}, {0x4, 0x4}, {0x4}, {0x7, 0xa}, {0x4, 0x2}, {0x4, 0x5}, {0x4, 0x8}, {0x7}, {0x7, 0x7}, {0x5, 0x2}, {0x6, 0x4}, {0x1, 0x6}, {0x3}, {0x7, 0x2}, {0x0, 0xa}, {0x6, 0x8}, {0x4, 0x4}, {0x1, 0x4}, {0x2, 0x7}, {0x7, 0x8}, {0x2, 0x9}, {0x0, 0x9}, {0x7, 0xa}, {0x7, 0x8}, {0x7, 0xa}, {0x3, 0x1}, {0x4}, {0x5, 0x5}, {0x0, 0x6}, {0x3, 0x8}, {0x7}, {0x5, 0x2}, {0x6, 0x9}, {0x6, 0x9}, {0x5, 0x2}, {0x3, 0x8}, {0x7, 0x9}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x8, 0x1f, 0x5, 0x1, 0x200, 0x40, 0x7fff]}}, @NL80211_TXRATE_HT={0x51, 0x2, [{0x0, 0xa}, {0x4, 0x2}, {0x1, 0x2}, {0x2, 0x8}, {0x5, 0xa}, {0x1, 0x8}, {0x5, 0x7}, {0x1, 0xa}, {0x6, 0x3}, {0x0, 0x4}, {0x0, 0x7}, {0x1, 0x4}, {0x2, 0x6}, {0x6}, {0x1, 0x7}, {0x2, 0xa}, {0x3, 0x1}, {0x3, 0x7}, {0x2}, {0x0, 0x6}, {0x5, 0x7}, {0x6, 0x4}, {0x0, 0x4}, {0x0, 0x3}, {0x0, 0x1}, {0x3}, {0x3, 0x1}, {0x4, 0x3}, {0x5, 0x1}, {0x7, 0x4}, {0x0, 0x3}, {0x6, 0xa}, {0x3, 0x3}, {0x1, 0x5}, {0x6, 0x5}, {0x1}, {0x4}, {0x2}, {0x2, 0x3}, {0x1, 0x7}, {0x1, 0x4}, {0x4, 0x4}, {0x7, 0x5}, {0x0, 0x3}, {0x4, 0x1}, {0x7}, {0x2, 0x4}, {0x4, 0x4}, {0x1, 0x5}, {0x4, 0x7}, {0x1, 0x2}, {0x1, 0x1}, {0x1, 0x6}, {0x5, 0xa}, {0x7, 0x5}, {0x0, 0x6}, {0x0, 0x6}, {0x0, 0x9}, {0x1, 0x4}, {0x7}, {0x2, 0x3}, {0x1, 0x6}, {0x7, 0x4}, {0x0, 0x6}, {0x5, 0x4}, {0x6, 0x2}, {0x5, 0x7}, {0x1, 0x5}, {0x7, 0x3}, {0x2, 0x4}, {0x7, 0x3}, {0x1, 0x3}, {0x5, 0x9}, {0x7, 0x8}, {0x4, 0x6}, {0x2, 0x3}, {0x0, 0x5}]}]}, @NL80211_BAND_60GHZ={0x18, 0x2, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x13, 0x1, [0x2, 0x1, 0x16, 0x1b, 0x30, 0x6, 0x2, 0x1b, 0x9, 0x3, 0x9, 0x36, 0x48, 0x60, 0x5]}]}, @NL80211_BAND_2GHZ={0xc, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x3}]}]}, @NL80211_ATTR_TX_RATES={0xe4, 0x5a, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x2c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x509, 0xf800, 0x7ff, 0x8, 0x0, 0xae, 0x9, 0x4de]}}, @NL80211_TXRATE_LEGACY={0xb, 0x1, [0xc, 0x4, 0x48, 0x5, 0x36, 0x12, 0x6c]}]}, @NL80211_BAND_2GHZ={0xb4, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0xd, 0x1, [0x1, 0xb, 0x24, 0x9, 0x6c, 0x36, 0x1, 0x12, 0x60]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x4, 0xf80, 0x8d4, 0x5, 0xfff, 0x1ff, 0x3ff, 0x5]}}, @NL80211_TXRATE_LEGACY={0x10, 0x1, [0x3, 0x6, 0x16, 0x425412fc71e87fac, 0xc, 0x5, 0xb, 0x1, 0x1, 0xc, 0x6, 0x60]}, @NL80211_TXRATE_LEGACY={0x23, 0x1, [0x6, 0x60, 0x18, 0x48, 0x60, 0x2, 0x0, 0x5, 0x1, 0x6, 0x9, 0x6, 0x0, 0x16, 0x18, 0x60, 0x16, 0x2, 0xc, 0x12, 0xc, 0x30, 0x2, 0x6c, 0x1b, 0x63, 0x6, 0x5, 0x9, 0x6c, 0x60]}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x47, 0x2, [{0x1, 0xa}, {0x1, 0x1}, {0x2, 0x2}, {0x6, 0x4}, {0x1, 0x7}, {0x2, 0x7}, {0x3, 0x1}, {0x3, 0x6}, {0x2, 0x9}, {0x1, 0x1}, {0x6, 0x2}, {0x2, 0x5}, {0x5, 0x7}, {0x0, 0x7}, {0x4}, {0x5, 0x5}, {0x5, 0x2}, {0x3, 0xa}, {0x0, 0x4}, {0x3, 0x2}, {0x5, 0x3}, {0x7, 0x9}, {0x7, 0x7}, {0x5, 0x2}, {0x0, 0x7}, {0x4, 0x8}, {0x2, 0x5}, {0x2, 0x3}, {0x1, 0xa}, {0x2, 0x4}, {0x2, 0x3}, {0x4, 0x6}, {0x1, 0x9}, {0x6, 0x6}, {0x4, 0x8}, {0x3}, {0x2, 0x1}, {0x4, 0x5}, {0x4, 0x3}, {0x5}, {0x5, 0xa}, {0x6, 0x7}, {0x1, 0x1}, {0x0, 0x1}, {0x0, 0x4}, {0x1}, {0x0, 0x2}, {0x3, 0x8}, {0x0, 0x1}, {0x2, 0x7}, {0x3, 0x8}, {0x6, 0x5}, {0x6, 0x9}, {0x3, 0x3}, {0x1, 0xa}, {0x1, 0x9}, {0x3, 0x1}, {0x5, 0x1}, {0x4}, {0x4, 0x9}, {0x0, 0x1}, {0x6, 0xa}, {0x1, 0x4}, {0x7, 0x7}, {0x5, 0x5}, {0x1, 0x9}, {0x0, 0x1}]}]}]}, @NL80211_ATTR_TX_RATES={0x204, 0x5a, 0x0, 0x1, [@NL80211_BAND_60GHZ={0x20, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_LEGACY={0x13, 0x1, [0x1b, 0x6c, 0x6, 0xb, 0x24, 0x12, 0x30, 0x12, 0x9, 0xb, 0x36, 0xc, 0x16, 0x4, 0x36]}]}, @NL80211_BAND_2GHZ={0x8c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0xef, 0x80, 0x7f, 0x8, 0x5, 0x137f, 0x7fff, 0x6]}}, @NL80211_TXRATE_HT={0x19, 0x2, [{0x1, 0x8}, {0x0, 0x4}, {0x4, 0xa}, {0x3}, {0x3, 0x3}, {0x2, 0x8}, {0x4, 0x7}, {0x1, 0x1}, {0x4, 0x1}, {0x3, 0x1}, {0x1, 0x2}, {0x5, 0x3}, {0x6}, {0x6, 0x2}, {0x5, 0x2}, {0x6, 0x1}, {0x6, 0x3}, {0x4, 0xa}, {0x0, 0x5}, {0x5, 0x6}, {0x4, 0x1}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x8000, 0x8001, 0x9, 0x8, 0x8000, 0x7f, 0x772]}}, @NL80211_TXRATE_LEGACY={0x1d, 0x1, [0x4, 0xb, 0x1, 0x1b, 0x3, 0x1b, 0x24, 0x36, 0x2, 0x4, 0x5, 0x3, 0x6, 0x60, 0x24, 0x2, 0x4, 0xc, 0x24, 0x6c, 0xc, 0x5, 0x1b, 0x16, 0x9]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x5, 0x1, [0x3c]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xe76e, 0x7, 0xe1, 0x400, 0x20, 0xffff, 0x4, 0xb92]}}]}, @NL80211_BAND_60GHZ={0x128, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x6, 0x552, 0x7e, 0x8, 0x3, 0x2, 0x3ff, 0x8]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7, 0x3f, 0x4, 0x200, 0x9, 0x3f, 0x20, 0x9]}}, @NL80211_TXRATE_HT={0x14, 0x2, [{0x7, 0x4}, {0x3, 0x4}, {0x3}, {0x2, 0x9}, {0x0, 0x1}, {0x5, 0x3}, {0x0, 0xa}, {0x0, 0x4}, {0x0, 0x2}, {0x0, 0x5}, {0x5, 0x5}, {0x6, 0x4}, {0x2, 0x5}, {0x1, 0x3}, {0x1, 0x7}, {0x6, 0x3}]}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x21, 0x2, [{0x1}, {0x1, 0x5}, {0x1, 0x9}, {0x3, 0xa}, {0x1, 0xa}, {0x7}, {0x4, 0x9}, {0x0, 0xa}, {0x6, 0x1}, {0x3, 0x7}, {0x5, 0x3}, {0x4, 0xa}, {0x0, 0x9}, {0x7, 0x3}, {0x0, 0x9}, {0x6, 0x2}, {0x4, 0x5}, {0x3, 0x3}, {0x5}, {0x6, 0x6}, {0x0, 0x9}, {0x6, 0x7}, {0x0, 0x1}, {0x0, 0x6}, {0x0, 0x6}, {0x4, 0x4}, {0x2}, {0x4, 0x7}, {0x6, 0x6}]}, @NL80211_TXRATE_HT={0x39, 0x2, [{0x1, 0x3}, {0x0, 0x9}, {0x5, 0x3}, {0x3, 0x6}, {0x1, 0x9}, {0x4, 0x3}, {0x3, 0x4}, {0x6}, {0x2, 0x6}, {0x1}, {0x0, 0x1}, {0x2, 0x3}, {0x6, 0x8}, {0x7, 0x7}, {0x1, 0x6}, {0x3, 0x3}, {0x1, 0x5}, {0x1, 0x8}, {0x5, 0x5}, {0x5, 0x9}, {0x3, 0x8}, {0x3, 0xa}, {0x7, 0x9}, {0x5, 0x3}, {0x0, 0x1}, {0x3, 0x5}, {0x1, 0x4}, {0x7, 0x5}, {0x2, 0x3}, {0x0, 0x3}, {}, {0x7, 0x5}, {0x1, 0x4}, {0x5, 0x9}, {0x4, 0x5}, {0x2}, {0x0, 0x4}, {0x5, 0x8}, {0x3, 0x6}, {0x6, 0x3}, {0x1, 0xa}, {0x0, 0xa}, {0x1, 0x7}, {0x7, 0x9}, {0x0, 0x2}, {0x7, 0x3}, {0x3, 0x4}, {0x4, 0x8}, {0x4, 0x9}, {0x6, 0x1}, {0x5, 0x1}, {0x2, 0x8}, {0x4, 0xa}]}, @NL80211_TXRATE_HT={0x50, 0x2, [{0x1}, {0x4, 0x2}, {}, {0x0, 0x9}, {0x5, 0x9}, {0x6, 0x5}, {0x1, 0x1}, {0x5, 0x6}, {0x1, 0x5}, {0x1}, {0x6, 0x3}, {0x7, 0x5}, {0x5, 0x9}, {0x0, 0x1}, {0x3, 0x2}, {0x3, 0x7}, {0x3, 0xa}, {0x0, 0xa}, {0x0, 0x9}, {0x2, 0x4}, {0x3, 0x7}, {0x3}, {0x5, 0xa}, {}, {0x7, 0x9}, {0x0, 0x6}, {0x2}, {0x4, 0x2}, {0x5, 0x9}, {0x1, 0x9}, {0x3, 0x7}, {0x3, 0x3}, {0x6, 0x2}, {0x3, 0x4}, {0x7}, {0x2, 0x5}, {0x2, 0x9}, {0x0, 0x4}, {0x1, 0xa}, {0x1, 0x2}, {0x0, 0x1}, {0x4, 0x4}, {0x7, 0x9}, {0x3, 0x6}, {0x4, 0x1}, {0x2, 0x8}, {0x4, 0x5}, {0x4}, {0x0, 0x6}, {0x3, 0x9}, {0x7}, {0x2, 0x3}, {0x1, 0xa}, {0x3, 0x3}, {0x7, 0x8}, {0x0, 0x8}, {0x2, 0x4}, {0x4, 0xa}, {0x0, 0x4}, {0x6, 0x9}, {0x4}, {0x7, 0xa}, {0x4}, {0x0, 0x5}, {0x4, 0x5}, {0x7, 0xa}, {0x3, 0x6}, {0x2, 0x9}, {0x6, 0x6}, {0x5, 0x2}, {0x1, 0x4}, {0x7, 0x6}, {0x2, 0x9}, {0x2, 0x5}, {}, {0x6, 0x7}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1f, 0x7f, 0x1, 0x5, 0x1000, 0x0, 0x1, 0x5]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x8, 0x4, 0x3f, 0x3, 0x7f, 0x9, 0x7, 0xc12]}}]}, @NL80211_BAND_60GHZ={0x2c, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x4}, @NL80211_TXRATE_LEGACY={0x10, 0x1, [0x6, 0x6, 0xb, 0x18, 0x3, 0x0, 0x18, 0x30, 0x30, 0x24, 0x1b, 0x6c]}, @NL80211_TXRATE_LEGACY={0xc, 0x1, [0x4, 0x4, 0x9, 0x3, 0x2, 0x9, 0x30, 0x36]}]}]}, @NL80211_ATTR_TX_RATES={0xb4, 0x5a, 0x0, 0x1, [@NL80211_BAND_5GHZ={0x30, 0x1, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_LEGACY={0x21, 0x1, [0x6, 0x9, 0x1b, 0xe, 0x1, 0x9, 0x12, 0x16, 0x48, 0x5, 0x2, 0x5, 0x1, 0x6c, 0x36, 0xb, 0xc, 0x36, 0x3, 0x1b, 0x24, 0x1b, 0x36, 0xc, 0x4, 0x3, 0x18, 0x12, 0x3d]}]}, @NL80211_BAND_60GHZ={0x80, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x5, 0x75, 0x7a, 0x3, 0x7, 0x800, 0x8, 0x400]}}, @NL80211_TXRATE_HT={0x18, 0x2, [{0x0, 0xa}, {0x6, 0x3}, {0x0, 0x1}, {0x5, 0x3}, {0x4, 0x6}, {0x4, 0xa}, {0x0, 0x7}, {0x1, 0x2}, {0x1, 0x3}, {0x7}, {0x0, 0x2}, {0x1}, {0x2, 0x6}, {0x6, 0x4}, {0x2, 0x2}, {0x5, 0x8}, {0x0, 0x1}, {0x2, 0x9}, {0x4, 0x4}, {0x0, 0x5}]}, @NL80211_TXRATE_LEGACY={0xf, 0x1, [0x18, 0x60, 0x6c, 0x5, 0x9, 0xc, 0x9, 0x3, 0x16, 0x3, 0x48]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xc135, 0x8e, 0x400, 0x401, 0x1, 0xd0, 0x5, 0x673]}}, @NL80211_TXRATE_LEGACY={0x4}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x81, 0x7fff, 0x1, 0x5, 0xfffc, 0x20, 0xf9a6, 0x8]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x9, 0x0, 0x400, 0xf7, 0x8, 0x4, 0x7c, 0xfffc]}}]}]}, @NL80211_ATTR_TX_RATES={0x330, 0x5a, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x7c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x4, 0x1, 0x5, 0x7ff, 0x4d1, 0x372d, 0x8]}}, @NL80211_TXRATE_HT={0x7, 0x2, [{}, {0x0, 0x9}, {0x4, 0x7}]}, @NL80211_TXRATE_HT={0x12, 0x2, [{0x7, 0xa}, {0x4, 0x3}, {0x3, 0x7}, {0x5, 0x5}, {0x0, 0x2}, {0x5, 0xa}, {0x1, 0x1}, {0x7, 0x1}, {0x5, 0x4}, {0x3, 0x1}, {0x4}, {0x4, 0x8}, {0x1, 0xa}, {0x5, 0xa}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xff81, 0x9, 0xdb4, 0x0, 0x3, 0x1dc4, 0x6, 0x7]}}, @NL80211_TXRATE_LEGACY={0x22, 0x1, [0x30, 0x1, 0x56, 0x60, 0x5, 0x2, 0x31, 0x5, 0x48, 0x18, 0x2, 0x60, 0x2, 0x36, 0x48, 0x9, 0x36, 0x12, 0x9, 0x6c, 0x6c, 0x6c, 0x5, 0x48, 0xb, 0x6c, 0xb, 0x5, 0x5, 0x48]}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}]}, @NL80211_BAND_60GHZ={0xc, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}, @NL80211_BAND_6GHZ={0x1c, 0x3, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x18, 0x1, [0x60, 0x6c, 0x1b, 0x30, 0x1b, 0x30, 0x2, 0x1b, 0xb, 0x4, 0x36, 0x3, 0x9, 0x2, 0x6, 0x9, 0x24, 0x1b, 0x9, 0x16]}]}, @NL80211_BAND_60GHZ={0x28, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x1c, 0x1, [0x60, 0x9, 0x24, 0x48, 0x36, 0x48, 0x1b, 0x24, 0x3, 0x4, 0xb, 0x23, 0x16, 0x4, 0x60, 0x60, 0x60, 0x36, 0x2, 0x6, 0x36, 0x48, 0x1b, 0xb]}]}, @NL80211_BAND_60GHZ={0x5c, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x205, 0x3, 0x3, 0x3, 0xfffa, 0x8, 0x0, 0x6]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1f, 0x81, 0x8, 0x9, 0xcfdd, 0x401, 0x1f, 0xffff]}}, @NL80211_TXRATE_HT={0x13, 0x2, [{0x3, 0x4}, {0x1, 0x9}, {0x5, 0x2}, {0x3}, {0x4, 0x3}, {0x6, 0x2}, {0x0, 0x5}, {0x0, 0x1}, {0x0, 0x9}, {0x0, 0x6}, {0x7, 0x4}, {0x4, 0xa}, {0x1, 0x1}, {0x5, 0x5}, {0x4, 0x6}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x9, 0x0, 0x3541, 0xfffd, 0x8, 0x800, 0x8001, 0x4]}}]}, @NL80211_BAND_6GHZ={0xf0, 0x3, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x5, 0x1, [0x12]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x8001, 0x1ff, 0x4, 0x401, 0x0, 0x7, 0x2, 0x6]}}, @NL80211_TXRATE_HT={0x35, 0x2, [{0x0, 0x1e}, {0x0, 0x9}, {0x4, 0x6}, {0x5, 0x3}, {0x1, 0x9}, {0x1, 0x2}, {0x6}, {0x1, 0x6}, {0x2, 0x7}, {0x3, 0x8}, {0x4}, {0x6, 0x5}, {0x1, 0x4}, {0x3, 0x4}, {0x0, 0x5}, {0x2, 0x2}, {0x4}, {0x7}, {0x3, 0x6}, {0x0, 0x4}, {0x2, 0x7}, {0x4, 0x8}, {0x2}, {0x1, 0x4}, {0x2, 0x2}, {0x3, 0x6}, {0x4, 0x7}, {0x2, 0x9}, {0x3, 0x5}, {0x1, 0x4}, {0x3, 0x5}, {0x5, 0x4}, {0x4, 0x2}, {0x1, 0x6}, {0x0, 0x11}, {0x5, 0x9}, {0x7, 0x9}, {0x5}, {0x3, 0xa}, {0x5, 0x9}, {0x0, 0x9}, {0x2, 0x7}, {0x7}, {0x0, 0xa}, {0x5, 0x8}, {0x1, 0x1}, {0x5}, {0x1, 0x2}, {0x4, 0xa}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x37, 0x2, [{0x3, 0x9}, {0x7, 0x4}, {0x5}, {0x6, 0x4}, {0x0, 0x8}, {0x0, 0xa}, {0x3, 0x5}, {0x2, 0x7}, {0x0, 0x6}, {0x7, 0x2}, {0x6, 0x3}, {0x4, 0x3}, {0x7, 0x6}, {0x3, 0x3}, {0x0, 0x3}, {0x7, 0x4}, {}, {0x5}, {0x1, 0x8}, {0x6, 0x5}, {0x1, 0x5}, {0x1, 0x7}, {0x5}, {0x2, 0xa}, {0x1, 0x6}, {0x1, 0x6}, {0x2, 0x6}, {0x0, 0x1}, {0x6, 0x5}, {0x4}, {0x1, 0x3}, {0x5, 0x5}, {0x2, 0x5}, {0x1}, {0x4, 0x7}, {0x5}, {0x2, 0x5}, {0x4, 0x9}, {0x5, 0x9}, {0x7, 0x6}, {0x1, 0x3}, {0x6, 0xa}, {0x0, 0x6}, {0x0, 0x8}, {0x2, 0x3}, {0x0, 0x8}, {0x6, 0x2}, {0x7, 0xa}, {0x5, 0x8}, {0x7, 0x2}, {0x6}]}, @NL80211_TXRATE_LEGACY={0x1f, 0x1, [0x12, 0xb, 0x4, 0x18, 0x4, 0x24, 0x12, 0xc, 0x48, 0x13, 0x18, 0x24, 0x48, 0x12, 0x2, 0x60, 0xb, 0x5, 0x6, 0x60, 0x5, 0x18, 0x36, 0x4b, 0x5, 0x60, 0x12]}, @NL80211_TXRATE_LEGACY={0x13, 0x1, [0x1, 0x1, 0x9, 0x4, 0x5, 0x36, 0x16, 0x60, 0x3, 0x2, 0x5, 0x6, 0x16, 0x0, 0x5]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x1c, 0x1, [0x3, 0x5, 0x30, 0x6, 0x0, 0x30, 0xb, 0x0, 0x12, 0x3, 0x16, 0x4, 0x1b, 0x16, 0x12, 0x3, 0x1b, 0x24, 0x36, 0x1d, 0x60, 0x0, 0xb, 0x24]}]}, @NL80211_BAND_6GHZ={0x10, 0x3, 0x0, 0x1, [@NL80211_TXRATE_HT={0x4}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}]}, @NL80211_BAND_60GHZ={0x1c, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0x5, 0x2, [{0x1, 0x2}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_2GHZ={0xe8, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_LEGACY={0x9, 0x1, [0x48, 0x9, 0x30, 0x1, 0x4]}, @NL80211_TXRATE_HT={0x4e, 0x2, [{0x1, 0x5}, {0x6, 0x6}, {0x4, 0x1}, {0x4, 0x4}, {0x0, 0x3}, {0x2, 0x1}, {0x2, 0x6}, {0x0, 0x9}, {0x5, 0x7}, {0x0, 0x3}, {0x2, 0x6}, {0x4}, {0x5, 0x3}, {0x4, 0x1}, {0x3, 0x2}, {0x1, 0x2}, {0x4, 0x8}, {0x3, 0x2}, {0x1, 0x1}, {0x2, 0x3}, {0x1, 0x6}, {0x0, 0x9}, {0x7, 0x5}, {0x4, 0x4}, {0x0, 0x6}, {0x5, 0xa}, {0x2, 0x4}, {0x0, 0x1}, {0x3, 0x1}, {0x5, 0x2}, {0x0, 0x6}, {0x2}, {0x6, 0xa}, {0x2, 0x4}, {0x1, 0x5}, {0x1, 0x7}, {0x1, 0x3}, {0x4, 0x8}, {0x6, 0x6}, {0x4}, {0x7, 0xa}, {0x0, 0x9}, {0x2, 0x2}, {0x0, 0x2}, {0x0, 0x8}, {0x2, 0x2}, {0x1, 0x3}, {0x0, 0x7}, {0x3, 0xa}, {0x0, 0x3}, {0x1, 0x8}, {0x6, 0x8}, {0x0, 0xa}, {0x1}, {0x4, 0x4}, {0x3, 0x5}, {0x2}, {0x1, 0x2}, {0x1, 0xa}, {0x1, 0x5}, {0x1, 0x9}, {0x3, 0x9}, {0x0, 0x6}, {0x2, 0x4}, {0x3, 0x7}, {0x2, 0x2}, {0x1, 0x3}, {0x7, 0xa}, {0x6, 0x3}, {0x3, 0x8}, {0x3, 0x9}, {0x6}, {0x6, 0x8}, {0x1, 0x7}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x51, 0x2, [{0x1, 0x9}, {0x7, 0x2}, {0x7, 0xa}, {0x4, 0x6}, {0x7, 0x5}, {0x3, 0xa}, {0x2, 0x5}, {0x3}, {0x4}, {0x2, 0x3}, {0x5, 0x1}, {0x3, 0x8}, {0x2, 0x5}, {0x2, 0x3}, {0x7, 0x4}, {0x4, 0x5}, {0x1}, {0x5, 0x7}, {0x1, 0x8}, {0x2, 0x7}, {0x7, 0x7}, {0x6, 0x9}, {0x5, 0x7}, {0x7, 0x1}, {0x1, 0x1}, {0x7, 0x9}, {0x7, 0x8}, {0x7, 0x5}, {0x7, 0x1}, {0x3, 0x1}, {0x4, 0x3}, {0x5, 0x9}, {0x0, 0xa}, {0x0, 0x3}, {0x0, 0x5}, {0x6, 0x2}, {0x0, 0x4}, {}, {0x0, 0x7}, {0x0, 0x6}, {0x6, 0x3}, {0x4, 0x8}, {0x6}, {0x1, 0x7}, {0x2, 0x9}, {0x1, 0x4}, {0x6, 0x3}, {0x6, 0x7}, {0x0, 0xa}, {0x6, 0x1}, {0x3, 0x7}, {0x1, 0x6}, {0x5, 0x1}, {0x0, 0x4}, {0x1}, {0x0, 0x8}, {0x4, 0x4}, {0x5, 0xa}, {0x1}, {0x4, 0x1}, {0x1, 0x8}, {0x1, 0x5}, {0x7, 0x3}, {0x2, 0x4}, {0x0, 0x3}, {0x2, 0x9}, {0x5, 0x6}, {0x7, 0x3}, {0x1, 0xa}, {0x2, 0x1}, {0x3}, {0x6, 0x8}, {0x0, 0x8}, {0x5, 0x6}, {0x0, 0xa}, {0x2, 0x4}, {0x0, 0x6}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7, 0x20, 0x3, 0x2, 0x100, 0x5, 0x5, 0x40]}}]}]}, @NL80211_ATTR_TX_RATES={0x70, 0x5a, 0x0, 0x1, [@NL80211_BAND_60GHZ={0x48, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x3c, 0x2, [{0x1, 0x2}, {0x7, 0xa}, {0x4}, {0x3, 0xa}, {0x6}, {0x6, 0x3}, {0x7, 0x7}, {0x2, 0xa}, {0x2, 0x9}, {0x2, 0x8}, {0x4}, {0x1, 0x2}, {0x0, 0x5}, {0x5}, {0x1, 0x2}, {0x4, 0x5}, {0x1, 0x3}, {0x2}, {0x1, 0x8}, {0x5, 0x6}, {0x4, 0x9}, {0x1, 0x5}, {0x0, 0x1}, {0x1, 0x1}, {0x0, 0x8}, {0x1, 0x5}, {0x0, 0x9}, {0x4, 0x1}, {0x1, 0x6}, {0x4, 0x2}, {0x7, 0x2}, {0x1}, {0x1}, {0x4, 0x5}, {0x2, 0x5}, {}, {0x7, 0x8}, {0x1, 0x8}, {0x3, 0x5}, {0x1, 0x2}, {0x1, 0x5}, {0x6, 0x1}, {0x0, 0x7}, {0x0, 0x6}, {0x2, 0x9}, {0x4}, {0x0, 0x8}, {0x1, 0x2}, {0x7, 0x6}, {0x0, 0x4}, {}, {0x6, 0x8}, {0x6, 0x1}, {0x4, 0x1}, {}, {0x3, 0x1}]}]}, @NL80211_BAND_60GHZ={0x24, 0x2, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x17, 0x1, [0x24, 0x30, 0xc, 0x1, 0x2, 0x1b, 0x3, 0x9, 0x9, 0x1, 0x16, 0x18, 0xc, 0x6, 0x3, 0x5, 0x12, 0x1b, 0x1b]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}]}]}, @NL80211_ATTR_TX_RATES={0x21c, 0x5a, 0x0, 0x1, [@NL80211_BAND_60GHZ={0x134, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0x49, 0x2, [{0x0, 0x4}, {0x2, 0x5}, {0x1}, {0x2, 0x9}, {0x6, 0x4}, {0x3, 0x4}, {0x0, 0x2}, {0x1, 0x4}, {0x2}, {0x2, 0x9}, {0x7, 0x9}, {0x5, 0x9}, {0x6, 0x8}, {0x1, 0x3}, {0x4, 0x8}, {0x5, 0x4}, {0x3, 0x2}, {0x0, 0x6}, {0x6, 0xa}, {0x1, 0x8}, {0x1, 0x7}, {0x1, 0x3}, {0x6, 0x3}, {0x1, 0xa}, {0x0, 0x2}, {0x1, 0x9}, {0x2, 0x5}, {0x0, 0x8}, {}, {0x7, 0x4}, {0x7, 0x8}, {0x4, 0x8}, {0x0, 0x7}, {0x4, 0x5}, {0x5, 0xa}, {0x3, 0x5}, {0x7, 0x1}, {0x6, 0x8}, {0x0, 0x7}, {0x2}, {0x5, 0x5}, {0x2}, {0x7, 0x5}, {0x5, 0xa}, {0x1, 0x7}, {0x2, 0x1}, {0x7, 0x8}, {0x3, 0x1}, {0x6, 0x4}, {0x7, 0x7}, {0x0, 0x2}, {0x3, 0x4}, {0x0, 0x7}, {0x0, 0xa}, {0x7, 0x9}, {0x6, 0x6}, {0x3, 0x6}, {0x4, 0x3}, {0x1, 0x7}, {0x7, 0x8}, {0x7}, {0x2, 0x4}, {0x5, 0x3}, {0x4, 0x4}, {0x3, 0x1}, {0x7, 0x4}, {0x6, 0x5}, {0x4, 0x1}, {0x3, 0x6}]}, @NL80211_TXRATE_LEGACY={0x19, 0x1, [0x48, 0x18, 0x1b, 0x2, 0x4, 0x1b, 0x48, 0x30, 0x5, 0x36, 0x3, 0x6, 0x48, 0xc, 0x9, 0x60, 0x18, 0x1b, 0x2, 0x18, 0x1]}, @NL80211_TXRATE_LEGACY={0x18, 0x1, [0x18, 0x0, 0x18, 0x48, 0xc, 0x36, 0x1, 0x24, 0xb, 0x1b, 0x18, 0x18, 0x1, 0x6, 0x18, 0x18, 0x6, 0x1fc9a75e86d3c458, 0x12, 0x3]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x34, 0x2, [{0x0, 0x5}, {0x7, 0x9}, {0x5, 0x2}, {0x5, 0x8}, {0x3, 0x1}, {0x0, 0x6}, {0x3, 0xa}, {0x7, 0x1}, {0x1, 0x8}, {0x4, 0x8}, {0x7, 0x7}, {0x1, 0x9}, {0x3, 0x7}, {0x3, 0x6}, {0x0, 0x1}, {0x7, 0x8}, {0x7}, {0x0, 0x1}, {0x4, 0x8}, {0x5, 0x6}, {0x4, 0x3}, {0x0, 0xa}, {0x0, 0x5}, {0x4, 0x1}, {0x0, 0x1}, {0x5, 0x7}, {0x0, 0x6}, {0x4, 0x2}, {0x5}, {0x5, 0x6}, {0x2, 0x2}, {0x0, 0x5}, {0x0, 0x5}, {0x1, 0x4}, {0x7, 0xa}, {0x7, 0x5}, {0x4, 0x6}, {0x4, 0x5}, {0x3, 0x2}, {0x4, 0x2}, {0x1, 0x2}, {0x7, 0x9}, {0x6, 0x3}, {0x3, 0x5}, {0x2, 0x5}, {0x2, 0x2}, {0x7, 0xa}, {0x1, 0x7}]}, @NL80211_TXRATE_HT={0xc, 0x2, [{0x6, 0x3}, {0x2, 0x9}, {0x7, 0x1}, {0x6, 0x6}, {0x1, 0x3}, {0x6, 0x9}, {0x3}, {0x7}]}, @NL80211_TXRATE_HT={0x44, 0x2, [{0x1, 0x1}, {0x0, 0x1}, {0x7, 0x4}, {0x6, 0x4}, {0x1, 0x7}, {0x0, 0xa}, {0x4, 0xa}, {0x3, 0x8}, {0x6, 0x2}, {0x2, 0x8}, {0x2, 0x4}, {0x7, 0xa}, {0x0, 0x1}, {0x2}, {0x7}, {0x1, 0x7}, {0x6}, {0x1, 0x7}, {0x0, 0x9}, {0x1, 0x3}, {0x1}, {0x6, 0x1}, {0x4, 0x2}, {0x3}, {0x3}, {0x2, 0x3}, {0x1, 0x2}, {0x7, 0x2}, {0x1, 0x9}, {0x5, 0x9}, {0x7, 0x1}, {0x7, 0x9}, {0x1, 0x8}, {0x0, 0x1}, {0x1, 0x4}, {0x7, 0x7}, {0x3, 0x9}, {0x0, 0x7}, {0x1, 0x9}, {0x1, 0x4}, {0x1}, {0x0, 0x3}, {0x0, 0xa}, {0x1, 0x2}, {0x2, 0x4}, {0x1}, {0x1, 0x4}, {0x3}, {0x1, 0x7}, {0x5, 0x3}, {0x2, 0x3}, {0x0, 0x8}, {0x4, 0x1}, {0x3, 0x9}, {0x5, 0x5}, {0x1, 0x3}, {0x1}, {0x6, 0xa}, {0x6, 0xa}, {0x4, 0x4}, {0x6}, {0x3, 0xa}, {0x4, 0x5}, {0x1, 0x6}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x6, 0x8, 0x2, 0x4, 0x9, 0x4, 0x8000, 0x1]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}]}, @NL80211_BAND_2GHZ={0x6c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_HT={0xb, 0x2, [{0x1, 0x5}, {0x5, 0x2}, {0x2, 0xa}, {0x1, 0xa}, {0x7, 0x7}, {0x2, 0x2}, {0x5, 0x4}]}, @NL80211_TXRATE_LEGACY={0x24, 0x1, [0x36, 0x0, 0x30, 0x16, 0x6, 0x2, 0x2, 0x6, 0x30, 0xc, 0x18, 0x3, 0x30, 0xc, 0x36, 0x6c, 0x30, 0x0, 0xc0, 0x16, 0x3, 0x6c, 0x39, 0x88, 0x9, 0x2e, 0x24, 0xc, 0x15, 0x76, 0xc, 0x24]}, @NL80211_TXRATE_HT={0x2f, 0x2, [{0x2, 0x2}, {0x2, 0x8}, {0x1, 0x6}, {0x0, 0x3}, {0x0, 0x8}, {0x5, 0x9}, {0x5, 0x1f}, {0x6, 0x4}, {0x3, 0xa}, {0x4, 0x7}, {0x6, 0x6}, {0x3, 0x9}, {0x0, 0x5}, {0x7, 0x5}, {0x0, 0x7}, {0x5}, {0x6, 0x8}, {0x1, 0x2}, {0x6}, {0x6, 0x5}, {0x1, 0x8}, {0x3, 0x6}, {0x1, 0x4}, {0x2, 0x1}, {0x2, 0x1}, {0x3, 0x4}, {0x0, 0x2}, {0x0, 0x2}, {0x1}, {0x1, 0x3}, {0x0, 0xa}, {0x0, 0x2}, {0x7, 0x6}, {0x6, 0x5}, {0x7, 0xa}, {0x6, 0x6}, {0x6, 0x2}, {0x0, 0x7}, {0x6, 0x6}, {0x4}, {0x0, 0x3}, {0x5, 0x9}, {0x5, 0x6}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}, @NL80211_BAND_5GHZ={0x78, 0x1, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x5ea1, 0x34, 0x0, 0x8, 0x5451, 0xc, 0x4, 0x1]}}, @NL80211_TXRATE_LEGACY={0x1e, 0x1, [0x9, 0x1b, 0x6, 0x36, 0x3, 0x24, 0x18, 0x60, 0xb, 0x6c, 0x1b, 0x6, 0x24, 0x2, 0x1b, 0x48, 0x25, 0x0, 0x16, 0x0, 0x16, 0x6c, 0x60, 0x2, 0x12, 0xf]}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x12, 0x2, [{0x1, 0x3}, {0x1}, {0x7, 0x3}, {0x3, 0x7}, {0x1, 0x1}, {0x4, 0x1}, {0x6, 0x4}, {0x7, 0x5}, {0x5, 0x9}, {0x1, 0x1}, {0x6, 0x5}, {0x1, 0x8}, {0x4, 0x1}, {0x0, 0x8}]}, @NL80211_TXRATE_LEGACY={0x19, 0x1, [0x36, 0x5, 0x1b, 0x18, 0x4, 0xb, 0x6c, 0x6, 0x6c, 0x9, 0xb, 0x30, 0x0, 0x16, 0x1, 0x1, 0x4, 0x60, 0x9, 0x6b, 0x16]}]}]}]}, 0xc34}}, 0x80) sendmsg$NL80211_CMD_SET_BEACON(r7, &(0x7f0000001b00)={&(0x7f00000018c0)={0x10, 0x0, 0x0, 0x8000000}, 0xc, &(0x7f0000001ac0)={&(0x7f0000001900)={0x1a0, 0x0, 0x200, 0x70bd28, 0x25dfdbfe, {{}, {@val={0x8}, @val={0xc, 0x99, {0xb4b, 0x55}}}}, [@NL80211_ATTR_IE={0x12a, 0x2a, [@chsw_timing={0x68, 0x4, {0xd4, 0x7}}, @ssid={0x0, 0x18, @random="4faf16a6b2803fe95553ea5c5a7c0d45b17fb495519f7449"}, @tim={0x5, 0xfc, {0x0, 0xde, 0x8, "44038a509594da4cc39a9438a90294018ac6f415a667072c8f82e7f7c1b3c6b43f838e81b8b897ae1e445bf7db2afe16029da05413a5e38aa423368b25ae82c5a6432783103b5406f650b5e42a26dadacd5a083165e76eaee7cc48693784a7ac1678b5683ce1a1a639add8f065e19d8646bc557f655d7d26d43d795cc2823c882c0bfcafafce9cdab622fcdb5af636cf47bb02eb9bbce52c010e8dea1b7c6ca4ad0de890caee360bad429f19044c05e5aefbd683f98841668c7faab2f3ddb196063b2fa8324ba9f0ffc29e47a1d05c39eeba1750b98b78277eb636643d446e99368fdadeea8c4db121c4e442df12845aca97cbf7ac5c8763b6"}}, @mesh_chsw={0x76, 0x6, {0x7, 0x0, 0x24, 0x1f}}]}, @NL80211_ATTR_IE_PROBE_RESP={0x49, 0x7f, [@chsw_timing={0x68, 0x4, {0x9, 0x97}}, @dsss={0x3, 0x1, 0xb7}, @ssid={0x0, 0x6, @default_ibss_ssid}, @ht={0x2d, 0x1a, {0x2000, 0x2, 0x7, 0x0, {0x0, 0x4, 0x0, 0x2, 0x0, 0x0, 0x0, 0x0, 0x1}, 0x400, 0x9, 0x20}}, @erp={0x2a, 0x1, {0x0, 0x1}}, @sec_chan_ofs={0x3e, 0x1}, @mic={0x8c, 0x10, {0x3d8, "9cab155e084d", @short="b2e294789ef72cfe"}}]}]}, 0x1a0}}, 0x4000000) ioctl$sock_ipv6_tunnel_SIOCGET6RD(r7, 0x89f8, &(0x7f0000001c00)={'ip6tnl0\x00', &(0x7f0000001b80)={'ip6_vti0\x00', r3, 0x2f, 0x83, 0x1, 0x10000, 0x10, @private1, @mcast1, 0x10, 0x40, 0x9, 0x8}}) ioctl$ifreq_SIOCGIFINDEX_vcan(r7, 0x8933, &(0x7f0000001c40)={'vxcan1\x00', 0x0}) ioctl$sock_ipv4_tunnel_SIOCCHGTUNNEL(r7, 0x89f3, &(0x7f0000001d00)={'syztnl2\x00', &(0x7f0000001c80)={'tunl0\x00', r2, 0x789f, 0x7800, 0x3, 0x7, {{0xd, 0x4, 0x0, 0x9, 0x34, 0x66, 0x0, 0xff, 0x29, 0x0, @multicast1, @multicast1, {[@ssrr={0x89, 0x7, 0x8a, [@broadcast]}, @timestamp_addr={0x44, 0xc, 0xa0, 0x1, 0x0, [{@remote, 0xf189}]}, @timestamp_addr={0x44, 0xc, 0xc0, 0x1, 0x4, [{@remote, 0x3}]}]}}}}}) ioctl$sock_ipv6_tunnel_SIOCGET6RD(r7, 0x89f8, &(0x7f0000001dc0)={'ip6gre0\x00', &(0x7f0000001d40)={'ip6gre0\x00', r5, 0x2f, 0x0, 0x7, 0x30b6fa43, 0x2, @private0, @empty, 0x8, 0x40, 0x20, 0x3f}}) recvmmsg(r7, &(0x7f0000005140)=[{{&(0x7f0000001e00)=@ll={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @link_local}, 0x80, &(0x7f00000023c0)=[{&(0x7f0000001e80)=""/80, 0x50}, {&(0x7f0000001f00)=""/4, 0x4}, {&(0x7f0000001f40)=""/175, 0xaf}, {&(0x7f0000002000)=""/185, 0xb9}, {&(0x7f00000020c0)=""/255, 0xff}, {&(0x7f00000021c0)=""/179, 0xb3}, {&(0x7f0000002280)}, {&(0x7f00000022c0)=""/77, 0x4d}, {&(0x7f0000002340)=""/104, 0x68}], 0x9, &(0x7f0000002480)=""/204, 0xcc}, 0xfffffeff}, {{&(0x7f0000002580)=@tipc, 0x80, &(0x7f0000002780)=[{&(0x7f0000002600)=""/66, 0x42}, {&(0x7f0000002680)=""/222, 0xde}], 0x2, &(0x7f00000027c0)=""/83, 0x53}, 0xd02a}, {{&(0x7f0000002840)=@pppol2tpv3={0x18, 0x1, {0x0, 0xffffffffffffffff, {0x2, 0x0, @broadcast}}}, 0x80, &(0x7f0000003bc0)=[{&(0x7f00000028c0)=""/26, 0x1a}, {&(0x7f0000002900)=""/49, 0x31}, {&(0x7f0000002940)=""/82, 0x52}, {&(0x7f00000029c0)=""/4096, 0x1000}, {&(0x7f00000039c0)=""/11, 0xb}, {&(0x7f0000003a00)=""/183, 0xb7}, {&(0x7f0000003ac0)=""/151, 0x97}, {&(0x7f0000003b80)=""/37, 0x25}], 0x8, &(0x7f0000003c40)=""/135, 0x87}}, {{&(0x7f0000003d00)=@un=@abs, 0x80, &(0x7f00000050c0)=[{&(0x7f0000003d80)=""/164, 0xa4}, {&(0x7f0000003e40)=""/236, 0xec}, {&(0x7f0000003f40)=""/157, 0x9d}, {&(0x7f0000004000)=""/172, 0xac}, {&(0x7f00000040c0)=""/4096, 0x1000}], 0x5}, 0x2}], 0x4, 0x40000100, &(0x7f0000005240)={0x77359400}) sendmsg$ETHTOOL_MSG_CHANNELS_GET(r7, &(0x7f0000005a00)={&(0x7f0000001b40)={0x10, 0x0, 0x0, 0x100000}, 0xc, &(0x7f00000059c0)={&(0x7f0000005680)={0x304, 0x0, 0x400, 0x70bd25, 0x25dfdbfc, {}, [@HEADER={0x44, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r3}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'geneve0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r8}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'wlan0\x00'}]}, @HEADER={0x44, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r9}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r10}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_vlan\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'caif0\x00'}]}, @HEADER={0x58, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r11}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth1_to_bond\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth1_to_team\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth1_to_team\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r12}]}, @HEADER={0x6c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_FLAGS={0x8}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth1_to_bond\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x3}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'rose0\x00'}]}, @HEADER={0x18, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'netpci0\x00'}]}, @HEADER={0x58, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r6}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0_to_bond\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth1_macvtap\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth1_to_team\x00'}]}, @HEADER={0x38, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r1}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'sit0\x00'}]}, @HEADER={0x4c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r5}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r5}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'erspan0\x00'}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'bond_slave_0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8}]}, @HEADER={0x54, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'batadv0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'ip6gretap0\x00'}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r4}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r2}]}, @HEADER={0x5c, 0x1, 0x0, 0x1, [@ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_FLAGS={0x8}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r5}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'batadv_slave_0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x1}, @ETHTOOL_A_HEADER_DEV_NAME={0x14, 0x2, 'veth0\x00'}, @ETHTOOL_A_HEADER_FLAGS={0x8, 0x3, 0x2}, @ETHTOOL_A_HEADER_DEV_INDEX={0x8, 0x1, r6}]}]}, 0x304}}, 0x0) [ 65.376904] Bluetooth: hci0: unexpected cc 0x0c03 length: 249 > 1 [ 65.378326] Bluetooth: hci1: unexpected cc 0x0c03 length: 249 > 1 [ 65.379589] Bluetooth: hci2: unexpected cc 0x0c03 length: 249 > 1 [ 65.381341] Bluetooth: hci1: unexpected cc 0x1003 length: 249 > 9 [ 65.382387] Bluetooth: hci2: unexpected cc 0x1003 length: 249 > 9 [ 65.386234] Bluetooth: hci1: unexpected cc 0x1001 length: 249 > 9 [ 65.387387] Bluetooth: hci2: unexpected cc 0x1001 length: 249 > 9 [ 65.391164] Bluetooth: hci0: unexpected cc 0x1003 length: 249 > 9 [ 65.392893] Bluetooth: hci0: unexpected cc 0x1001 length: 249 > 9 [ 65.394080] Bluetooth: hci2: unexpected cc 0x0c23 length: 249 > 4 [ 65.394287] Bluetooth: hci1: unexpected cc 0x0c23 length: 249 > 4 [ 65.404116] Bluetooth: hci0: unexpected cc 0x0c23 length: 249 > 4 [ 65.429813] Bluetooth: hci4: unexpected cc 0x0c03 length: 249 > 1 [ 65.445197] Bluetooth: hci0: unexpected cc 0x0c25 length: 249 > 3 [ 65.447608] Bluetooth: hci5: unexpected cc 0x0c03 length: 249 > 1 [ 65.449591] Bluetooth: hci1: unexpected cc 0x0c25 length: 249 > 3 [ 65.451184] Bluetooth: hci6: unexpected cc 0x0c03 length: 249 > 1 [ 65.453068] Bluetooth: hci4: unexpected cc 0x1003 length: 249 > 9 [ 65.455143] Bluetooth: hci5: unexpected cc 0x1003 length: 249 > 9 [ 65.456193] Bluetooth: hci4: unexpected cc 0x1001 length: 249 > 9 [ 65.457192] Bluetooth: hci6: unexpected cc 0x1003 length: 249 > 9 [ 65.458937] Bluetooth: hci5: unexpected cc 0x1001 length: 249 > 9 [ 65.460735] Bluetooth: hci6: unexpected cc 0x1001 length: 249 > 9 [ 65.464504] Bluetooth: hci4: unexpected cc 0x0c23 length: 249 > 4 [ 65.465818] Bluetooth: hci0: unexpected cc 0x0c38 length: 249 > 2 [ 65.467951] Bluetooth: hci1: unexpected cc 0x0c38 length: 249 > 2 [ 65.472378] Bluetooth: hci2: unexpected cc 0x0c25 length: 249 > 3 [ 65.472733] Bluetooth: hci0: HCI_REQ-0x0c1a [ 65.477229] Bluetooth: hci1: HCI_REQ-0x0c1a [ 65.478781] Bluetooth: hci2: unexpected cc 0x0c38 length: 249 > 2 [ 65.479812] Bluetooth: hci4: unexpected cc 0x0c25 length: 249 > 3 [ 65.481822] Bluetooth: hci4: unexpected cc 0x0c38 length: 249 > 2 [ 65.487374] Bluetooth: hci2: HCI_REQ-0x0c1a [ 65.487848] Bluetooth: hci5: unexpected cc 0x0c23 length: 249 > 4 [ 65.498095] Bluetooth: hci6: unexpected cc 0x0c23 length: 249 > 4 [ 65.502513] Bluetooth: hci5: unexpected cc 0x0c25 length: 249 > 3 [ 65.504569] Bluetooth: hci6: unexpected cc 0x0c25 length: 249 > 3 [ 65.505701] Bluetooth: hci5: unexpected cc 0x0c38 length: 249 > 2 [ 65.507405] Bluetooth: hci6: unexpected cc 0x0c38 length: 249 > 2 [ 65.516814] Bluetooth: hci6: HCI_REQ-0x0c1a [ 65.521149] Bluetooth: hci4: HCI_REQ-0x0c1a [ 65.523149] Bluetooth: hci5: HCI_REQ-0x0c1a [ 65.547577] Bluetooth: hci7: unexpected cc 0x0c03 length: 249 > 1 [ 65.556496] Bluetooth: hci7: unexpected cc 0x1003 length: 249 > 9 [ 65.560344] Bluetooth: hci7: unexpected cc 0x1001 length: 249 > 9 [ 65.566227] Bluetooth: hci7: unexpected cc 0x0c23 length: 249 > 4 [ 65.567964] Bluetooth: hci3: unexpected cc 0x0c03 length: 249 > 1 [ 65.570067] Bluetooth: hci3: unexpected cc 0x1003 length: 249 > 9 [ 65.571513] Bluetooth: hci3: unexpected cc 0x1001 length: 249 > 9 [ 65.572584] Bluetooth: hci7: unexpected cc 0x0c25 length: 249 > 3 [ 65.574450] Bluetooth: hci7: unexpected cc 0x0c38 length: 249 > 2 [ 65.578114] Bluetooth: hci3: unexpected cc 0x0c23 length: 249 > 4 [ 65.582010] Bluetooth: hci7: HCI_REQ-0x0c1a [ 65.583233] Bluetooth: hci3: unexpected cc 0x0c25 length: 249 > 3 [ 65.592276] Bluetooth: hci3: unexpected cc 0x0c38 length: 249 > 2 [ 65.597467] Bluetooth: hci3: HCI_REQ-0x0c1a [ 67.510559] Bluetooth: hci1: command 0x0409 tx timeout [ 67.511699] Bluetooth: hci2: command 0x0409 tx timeout [ 67.574217] Bluetooth: hci6: command 0x0409 tx timeout [ 67.575313] Bluetooth: hci4: command 0x0409 tx timeout [ 67.576339] Bluetooth: hci5: command 0x0409 tx timeout [ 67.577362] Bluetooth: hci0: command 0x0409 tx timeout [ 67.638337] Bluetooth: hci3: command 0x0409 tx timeout [ 67.639742] Bluetooth: hci7: command 0x0409 tx timeout [ 69.558536] Bluetooth: hci2: command 0x041b tx timeout [ 69.559648] Bluetooth: hci1: command 0x041b tx timeout [ 69.622129] Bluetooth: hci0: command 0x041b tx timeout [ 69.622690] Bluetooth: hci5: command 0x041b tx timeout [ 69.623610] Bluetooth: hci4: command 0x041b tx timeout [ 69.624148] Bluetooth: hci6: command 0x041b tx timeout [ 69.686334] Bluetooth: hci7: command 0x041b tx timeout [ 69.686863] Bluetooth: hci3: command 0x041b tx timeout [ 71.606085] Bluetooth: hci1: command 0x040f tx timeout [ 71.606561] Bluetooth: hci2: command 0x040f tx timeout [ 71.670063] Bluetooth: hci6: command 0x040f tx timeout [ 71.670527] Bluetooth: hci4: command 0x040f tx timeout [ 71.670919] Bluetooth: hci5: command 0x040f tx timeout [ 71.671353] Bluetooth: hci0: command 0x040f tx timeout [ 71.734284] Bluetooth: hci3: command 0x040f tx timeout [ 71.734715] Bluetooth: hci7: command 0x040f tx timeout [ 73.654074] Bluetooth: hci2: command 0x0419 tx timeout [ 73.654553] Bluetooth: hci1: command 0x0419 tx timeout [ 73.718163] Bluetooth: hci0: command 0x0419 tx timeout [ 73.718636] Bluetooth: hci5: command 0x0419 tx timeout [ 73.719099] Bluetooth: hci4: command 0x0419 tx timeout [ 73.719600] Bluetooth: hci6: command 0x0419 tx timeout [ 73.782283] Bluetooth: hci7: command 0x0419 tx timeout [ 73.782819] Bluetooth: hci3: command 0x0419 tx timeout 15:18:18 executing program 2: syz_emit_ethernet(0x30, &(0x7f0000000100)=ANY=[@ANYBLOB="bbbbbbbbbbbb00000000000008060104006006020001aaaaaaaaaabb9f77bbbbbbbbbbbbda08b2e475af38829fcaedd2"], 0x0) 15:18:18 executing program 2: syz_emit_ethernet(0x30, &(0x7f0000000100)=ANY=[@ANYBLOB="bbbbbbbbbbbb00000000000008060104006006020001aaaaaaaaaabb9f77bbbbbbbbbbbbda08b2e475af38829fcaedd2"], 0x0) 15:18:19 executing program 2: syz_emit_ethernet(0x30, &(0x7f0000000100)=ANY=[@ANYBLOB="bbbbbbbbbbbb00000000000008060104006006020001aaaaaaaaaabb9f77bbbbbbbbbbbbda08b2e475af38829fcaedd2"], 0x0) 15:18:19 executing program 2: syz_emit_ethernet(0x30, &(0x7f0000000100)=ANY=[@ANYBLOB="bbbbbbbbbbbb00000000000008060104006006020001aaaaaaaaaabb9f77bbbbbbbbbbbbda08b2e475af38829fcaedd2"], 0x0) 15:18:19 executing program 4: perf_event_open(&(0x7f0000000080)={0x2, 0x80, 0xc2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = getpid() tgkill(r0, r0, 0x0) perf_event_open(&(0x7f00000004c0)={0x2, 0x80, 0x7f, 0x40, 0x1f, 0x6, 0x0, 0xa34, 0x1000, 0x8, 0x1, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x0, 0x1, 0x0, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, 0x1, 0x1, 0x1, 0x1, 0x0, 0x0, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x1, 0x2, @perf_config_ext={0x40}, 0x40, 0xec, 0xffffffc1, 0x0, 0x8, 0x80, 0x8, 0x0, 0x7, 0x0, 0x80000001}, r0, 0xffffffffffffffff, 0xffffffffffffffff, 0x4) r1 = syz_io_uring_setup(0x56ad, &(0x7f0000000140)={0x0, 0x6c4c, 0x0, 0x0, 0x212}, &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000ffc000/0x3000)=nil, &(0x7f0000000000)=0x0, &(0x7f0000000300)) write$sndseq(0xffffffffffffffff, &(0x7f0000000140)=[{0x23, 0x0, 0x0, 0x0, @time, {}, {}, @time}], 0x1c) io_uring_register$IORING_REGISTER_PERSONALITY(0xffffffffffffffff, 0x9, 0x0, 0x0) r3 = syz_io_uring_setup(0x0, &(0x7f0000000700)={0x0, 0x0, 0x4, 0x2, 0x309, 0x0, r1}, &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000000100), &(0x7f0000000340)) ioctl$SNDRV_SEQ_IOCTL_REMOVE_EVENTS(0xffffffffffffffff, 0x4040534e, &(0x7f0000000240)={0x302, @tick=0x8bfa, 0x40, {0x80, 0x2}, 0x7, 0x1, 0x2}) perf_event_open(&(0x7f0000000280)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4, @perf_bp={&(0x7f0000000040), 0xb}, 0x0, 0x20, 0x0, 0x0, 0x8}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r4 = openat$sr(0xffffffffffffff9c, &(0x7f00000001c0), 0x105802, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x1000004, 0x2811, r4, 0x0) close(r4) syz_io_uring_setup(0x35a6, &(0x7f00000003c0)={0x0, 0x132c, 0x10, 0x0, 0x375, 0x0, r3}, &(0x7f0000fff000/0x1000)=nil, &(0x7f0000ffb000/0x4000)=nil, &(0x7f0000000440), &(0x7f0000000480)=0x0) r6 = openat$cgroup_root(0xffffffffffffff9c, &(0x7f00000004c0)='./cgroup/syz1\x00', 0x200002, 0x0) r7 = syz_open_dev$tty20(0xc, 0x4, 0x1) io_uring_register$IORING_REGISTER_FILES(0xffffffffffffffff, 0x2, &(0x7f0000000800)=[r6, r7], 0x2) ioctl$INCFS_IOC_FILL_BLOCKS(r6, 0x80106720, &(0x7f0000000380)={0x3, &(0x7f0000000680)=[{0xfffffffb, 0x2, &(0x7f0000000200)="8090", 0x1}, {0x0, 0x63, &(0x7f0000000540)="bcee3acabc0a373c070e536db91bf07f976458aa5df1fffbda0364c59529403f048d9a8a282944ebf5688ae54cd4b57800e6f7ace20c0710b2ebd04ec3cf5aebbb3917c617c0f42dc073d2d74b72c4aa000e0a6d0b5b4bca03bb69de7dca85c66f5c14", 0x1, 0x1}, {0x5, 0x9b, &(0x7f00000005c0)="951c1d52483c224c398d291af6be9da78488256b370f374ca8ffb8da9017614808c51c13919c947f6f8795d3ec9f49b56d69bb391e3acd64a3cbf881698b3c8275bbfcbfd815fb86ae2b43d65810bbad7bb1ba9907e48ada9c6f41a94b6f540566f0b66777ad8397230e955bbc850d4126f7570089a8bd4d1da304fe360163e8a1ac3ca0b4da9f5c20bddfc632ee04da019452f300f9943eea7b20", 0x1}]}) syz_io_uring_submit(r2, r5, &(0x7f0000000900)=@IORING_OP_RECVMSG={0xa, 0x240b154a4ff726fe, 0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0, 0x2002, 0x1, {0x1}}, 0x2) [ 123.361720] audit: type=1400 audit(1664637499.328:7): avc: denied { open } for pid=3838 comm="syz-executor.4" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=perf_event permissive=1 [ 123.363314] audit: type=1400 audit(1664637499.328:8): avc: denied { kernel } for pid=3838 comm="syz-executor.4" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=perf_event permissive=1 15:18:19 executing program 2: syz_emit_ethernet(0x30, 0x0, 0x0) [ 123.385436] ------------[ cut here ]------------ [ 123.385458] [ 123.385462] ====================================================== [ 123.385465] WARNING: possible circular locking dependency detected [ 123.385469] 6.0.0-rc7-next-20220930 #1 Not tainted [ 123.385475] ------------------------------------------------------ [ 123.385479] syz-executor.4/3839 is trying to acquire lock: [ 123.385485] ffffffff853faab8 ((console_sem).lock){....}-{2:2}, at: down_trylock+0xe/0x70 [ 123.385526] [ 123.385526] but task is already holding lock: [ 123.385529] ffff8880102fac20 (&ctx->lock){....}-{2:2}, at: __perf_event_task_sched_out+0x53b/0x18d0 [ 123.385555] [ 123.385555] which lock already depends on the new lock. [ 123.385555] [ 123.385558] [ 123.385558] the existing dependency chain (in reverse order) is: [ 123.385562] [ 123.385562] -> #3 (&ctx->lock){....}-{2:2}: [ 123.385575] _raw_spin_lock+0x2a/0x40 [ 123.385586] __perf_event_task_sched_out+0x53b/0x18d0 [ 123.385598] __schedule+0xedd/0x2470 [ 123.385611] schedule+0xda/0x1b0 [ 123.385624] exit_to_user_mode_prepare+0x114/0x1a0 [ 123.385637] syscall_exit_to_user_mode+0x19/0x40 [ 123.385650] do_syscall_64+0x48/0x90 [ 123.385667] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 123.385680] [ 123.385680] -> #2 (&rq->__lock){-.-.}-{2:2}: [ 123.385693] _raw_spin_lock_nested+0x30/0x40 [ 123.385704] raw_spin_rq_lock_nested+0x1e/0x30 [ 123.385717] task_fork_fair+0x63/0x4d0 [ 123.385734] sched_cgroup_fork+0x3d0/0x540 [ 123.385748] copy_process+0x4183/0x6e20 [ 123.385758] kernel_clone+0xe7/0x890 [ 123.385768] user_mode_thread+0xad/0xf0 [ 123.385778] rest_init+0x24/0x250 [ 123.385789] arch_call_rest_init+0xf/0x14 [ 123.385807] start_kernel+0x4c6/0x4eb [ 123.385822] secondary_startup_64_no_verify+0xe0/0xeb [ 123.385836] [ 123.385836] -> #1 (&p->pi_lock){-.-.}-{2:2}: [ 123.385849] _raw_spin_lock_irqsave+0x39/0x60 [ 123.385860] try_to_wake_up+0xab/0x1930 [ 123.385873] up+0x75/0xb0 [ 123.385886] __up_console_sem+0x6e/0x80 [ 123.385903] console_unlock+0x46a/0x590 [ 123.385919] vprintk_emit+0x1bd/0x560 [ 123.385934] vprintk+0x84/0xa0 [ 123.385953] _printk+0xba/0xf1 [ 123.385964] regdb_fw_cb.cold+0x6c/0xa7 [ 123.385982] request_firmware_work_func+0x12e/0x240 [ 123.386001] process_one_work+0xa17/0x16a0 [ 123.386018] worker_thread+0x637/0x1260 [ 123.386035] kthread+0x2ed/0x3a0 [ 123.386048] ret_from_fork+0x22/0x30 [ 123.386060] [ 123.386060] -> #0 ((console_sem).lock){....}-{2:2}: [ 123.386074] __lock_acquire+0x2a02/0x5e70 [ 123.386090] lock_acquire+0x1a2/0x530 [ 123.386106] _raw_spin_lock_irqsave+0x39/0x60 [ 123.386117] down_trylock+0xe/0x70 [ 123.386131] __down_trylock_console_sem+0x3b/0xd0 [ 123.386147] vprintk_emit+0x16b/0x560 [ 123.386163] vprintk+0x84/0xa0 [ 123.386178] _printk+0xba/0xf1 [ 123.386189] report_bug.cold+0x72/0xab [ 123.386204] handle_bug+0x3c/0x70 [ 123.386221] exc_invalid_op+0x14/0x50 [ 123.386237] asm_exc_invalid_op+0x16/0x20 [ 123.386249] group_sched_out.part.0+0x2c7/0x460 [ 123.386267] ctx_sched_out+0x8f1/0xc10 [ 123.386283] __perf_event_task_sched_out+0x6d0/0x18d0 [ 123.386294] __schedule+0xedd/0x2470 [ 123.386308] schedule+0xda/0x1b0 [ 123.386321] exit_to_user_mode_prepare+0x114/0x1a0 [ 123.386331] syscall_exit_to_user_mode+0x19/0x40 [ 123.386343] do_syscall_64+0x48/0x90 [ 123.386360] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 123.386372] [ 123.386372] other info that might help us debug this: [ 123.386372] [ 123.386375] Chain exists of: [ 123.386375] (console_sem).lock --> &rq->__lock --> &ctx->lock [ 123.386375] [ 123.386389] Possible unsafe locking scenario: [ 123.386389] [ 123.386392] CPU0 CPU1 [ 123.386394] ---- ---- [ 123.386397] lock(&ctx->lock); [ 123.386402] lock(&rq->__lock); [ 123.386408] lock(&ctx->lock); [ 123.386414] lock((console_sem).lock); [ 123.386420] [ 123.386420] *** DEADLOCK *** [ 123.386420] [ 123.386422] 2 locks held by syz-executor.4/3839: [ 123.386429] #0: ffff88806ce37e98 (&rq->__lock){-.-.}-{2:2}, at: __schedule+0x1cf/0x2470 [ 123.386458] #1: ffff8880102fac20 (&ctx->lock){....}-{2:2}, at: __perf_event_task_sched_out+0x53b/0x18d0 [ 123.386483] [ 123.386483] stack backtrace: [ 123.386486] CPU: 0 PID: 3839 Comm: syz-executor.4 Not tainted 6.0.0-rc7-next-20220930 #1 [ 123.386499] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 123.386507] Call Trace: [ 123.386510] [ 123.386515] dump_stack_lvl+0x8b/0xb3 [ 123.386533] check_noncircular+0x263/0x2e0 [ 123.386549] ? format_decode+0x26c/0xb50 [ 123.386565] ? print_circular_bug+0x450/0x450 [ 123.386582] ? simple_strtoul+0x30/0x30 [ 123.386598] ? format_decode+0x26c/0xb50 [ 123.386615] ? alloc_chain_hlocks+0x1ec/0x5a0 [ 123.386632] __lock_acquire+0x2a02/0x5e70 [ 123.386654] ? lockdep_hardirqs_on_prepare+0x410/0x410 [ 123.386676] lock_acquire+0x1a2/0x530 [ 123.386692] ? down_trylock+0xe/0x70 [ 123.386709] ? lock_release+0x750/0x750 [ 123.386729] ? vprintk+0x84/0xa0 [ 123.386747] _raw_spin_lock_irqsave+0x39/0x60 [ 123.386758] ? down_trylock+0xe/0x70 [ 123.386774] down_trylock+0xe/0x70 [ 123.386789] ? vprintk+0x84/0xa0 [ 123.386806] __down_trylock_console_sem+0x3b/0xd0 [ 123.386823] vprintk_emit+0x16b/0x560 [ 123.386842] vprintk+0x84/0xa0 [ 123.386859] _printk+0xba/0xf1 [ 123.386870] ? record_print_text.cold+0x16/0x16 [ 123.386886] ? report_bug.cold+0x66/0xab [ 123.386903] ? group_sched_out.part.0+0x2c7/0x460 [ 123.386922] report_bug.cold+0x72/0xab [ 123.386940] handle_bug+0x3c/0x70 [ 123.386957] exc_invalid_op+0x14/0x50 [ 123.386975] asm_exc_invalid_op+0x16/0x20 [ 123.386988] RIP: 0010:group_sched_out.part.0+0x2c7/0x460 [ 123.387008] Code: 5e 41 5f e9 8b ae ef ff e8 86 ae ef ff 65 8b 1d 0b 18 ac 7e 31 ff 89 de e8 26 ab ef ff 85 db 0f 84 8a 00 00 00 e8 69 ae ef ff <0f> 0b e9 a5 fe ff ff e8 5d ae ef ff 48 8d 7d 10 48 b8 00 00 00 00 [ 123.387019] RSP: 0018:ffff88803f547c48 EFLAGS: 00010006 [ 123.387028] RAX: 0000000040000002 RBX: 0000000000000000 RCX: 0000000000000000 [ 123.387036] RDX: ffff888017440000 RSI: ffffffff81565dc7 RDI: 0000000000000005 [ 123.387043] RBP: ffff8880086605c8 R08: 0000000000000005 R09: 0000000000000001 [ 123.387051] R10: 0000000000000000 R11: ffffffff865b401b R12: ffff8880102fac00 [ 123.387058] R13: ffff88806ce3d2c0 R14: ffffffff8547d000 R15: 0000000000000002 [ 123.387069] ? group_sched_out.part.0+0x2c7/0x460 [ 123.387089] ? group_sched_out.part.0+0x2c7/0x460 [ 123.387108] ctx_sched_out+0x8f1/0xc10 [ 123.387128] __perf_event_task_sched_out+0x6d0/0x18d0 [ 123.387142] ? lock_is_held_type+0xd7/0x130 [ 123.387155] ? __perf_cgroup_move+0x160/0x160 [ 123.387166] ? set_next_entity+0x304/0x550 [ 123.387183] ? update_curr+0x267/0x740 [ 123.387202] ? lock_is_held_type+0xd7/0x130 [ 123.387220] __schedule+0xedd/0x2470 [ 123.387241] ? io_schedule_timeout+0x150/0x150 [ 123.387258] ? rcu_read_lock_sched_held+0x3e/0x80 [ 123.387278] schedule+0xda/0x1b0 [ 123.387293] exit_to_user_mode_prepare+0x114/0x1a0 [ 123.387305] syscall_exit_to_user_mode+0x19/0x40 [ 123.387319] do_syscall_64+0x48/0x90 [ 123.387336] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 123.387349] RIP: 0033:0x7f09d1dd3b19 [ 123.387358] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 123.387368] RSP: 002b:00007f09cf349218 EFLAGS: 00000246 ORIG_RAX: 00000000000000ca [ 123.387379] RAX: 0000000000000001 RBX: 00007f09d1ee6f68 RCX: 00007f09d1dd3b19 [ 123.387386] RDX: 00000000000f4240 RSI: 0000000000000081 RDI: 00007f09d1ee6f6c [ 123.387393] RBP: 00007f09d1ee6f60 R08: 000000000000000e R09: 0000000000000000 [ 123.387400] R10: 0000000000000003 R11: 0000000000000246 R12: 00007f09d1ee6f6c [ 123.387408] R13: 00007ffc650c100f R14: 00007f09cf349300 R15: 0000000000022000 [ 123.387420] [ 123.441849] WARNING: CPU: 0 PID: 3839 at kernel/events/core.c:2309 group_sched_out.part.0+0x2c7/0x460 [ 123.442675] Modules linked in: [ 123.442929] CPU: 0 PID: 3839 Comm: syz-executor.4 Not tainted 6.0.0-rc7-next-20220930 #1 [ 123.443553] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 123.444419] RIP: 0010:group_sched_out.part.0+0x2c7/0x460 [ 123.444854] Code: 5e 41 5f e9 8b ae ef ff e8 86 ae ef ff 65 8b 1d 0b 18 ac 7e 31 ff 89 de e8 26 ab ef ff 85 db 0f 84 8a 00 00 00 e8 69 ae ef ff <0f> 0b e9 a5 fe ff ff e8 5d ae ef ff 48 8d 7d 10 48 b8 00 00 00 00 [ 123.446308] RSP: 0018:ffff88803f547c48 EFLAGS: 00010006 [ 123.446784] RAX: 0000000040000002 RBX: 0000000000000000 RCX: 0000000000000000 [ 123.447392] RDX: ffff888017440000 RSI: ffffffff81565dc7 RDI: 0000000000000005 [ 123.448012] RBP: ffff8880086605c8 R08: 0000000000000005 R09: 0000000000000001 [ 123.448570] R10: 0000000000000000 R11: ffffffff865b401b R12: ffff8880102fac00 [ 123.449123] R13: ffff88806ce3d2c0 R14: ffffffff8547d000 R15: 0000000000000002 [ 123.449709] FS: 00007f09cf349700(0000) GS:ffff88806ce00000(0000) knlGS:0000000000000000 [ 123.450335] CS: 0010 DS: 0000 ES: 0000 CR0: 0000000080050033 [ 123.450768] CR2: 00007f50a6f60000 CR3: 000000000f43c000 CR4: 0000000000350ef0 [ 123.451280] Call Trace: [ 123.451465] [ 123.451632] ctx_sched_out+0x8f1/0xc10 [ 123.451920] __perf_event_task_sched_out+0x6d0/0x18d0 [ 123.452288] ? lock_is_held_type+0xd7/0x130 [ 123.452598] ? __perf_cgroup_move+0x160/0x160 [ 123.452920] ? set_next_entity+0x304/0x550 [ 123.453237] ? update_curr+0x267/0x740 [ 123.453525] ? lock_is_held_type+0xd7/0x130 [ 123.453831] __schedule+0xedd/0x2470 [ 123.454106] ? io_schedule_timeout+0x150/0x150 [ 123.454438] ? rcu_read_lock_sched_held+0x3e/0x80 [ 123.454781] schedule+0xda/0x1b0 [ 123.455023] exit_to_user_mode_prepare+0x114/0x1a0 [ 123.455361] syscall_exit_to_user_mode+0x19/0x40 [ 123.455689] do_syscall_64+0x48/0x90 [ 123.455955] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 123.456317] RIP: 0033:0x7f09d1dd3b19 [ 123.456575] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 123.457837] RSP: 002b:00007f09cf349218 EFLAGS: 00000246 ORIG_RAX: 00000000000000ca [ 123.458362] RAX: 0000000000000001 RBX: 00007f09d1ee6f68 RCX: 00007f09d1dd3b19 [ 123.458858] RDX: 00000000000f4240 RSI: 0000000000000081 RDI: 00007f09d1ee6f6c [ 123.459358] RBP: 00007f09d1ee6f60 R08: 000000000000000e R09: 0000000000000000 [ 123.459853] R10: 0000000000000003 R11: 0000000000000246 R12: 00007f09d1ee6f6c [ 123.460348] R13: 00007ffc650c100f R14: 00007f09cf349300 R15: 0000000000022000 [ 123.460848] [ 123.461017] irq event stamp: 712 [ 123.461266] hardirqs last enabled at (711): [] exit_to_user_mode_prepare+0x109/0x1a0 [ 123.461914] hardirqs last disabled at (712): [] __schedule+0x1225/0x2470 [ 123.462497] softirqs last enabled at (648): [] __irq_exit_rcu+0x11b/0x180 [ 123.463094] softirqs last disabled at (639): [] __irq_exit_rcu+0x11b/0x180 [ 123.463685] ---[ end trace 0000000000000000 ]--- 15:18:19 executing program 2: syz_emit_ethernet(0x30, 0x0, 0x0) 15:18:19 executing program 2: syz_emit_ethernet(0x30, 0x0, 0x0) [ 123.783482] sr 1:0:0:0: [sr0] tag#0 FAILED Result: hostbyte=DID_OK driverbyte=DRIVER_OK cmd_age=0s [ 123.784575] sr 1:0:0:0: [sr0] tag#0 Sense Key : Not Ready [current] [ 123.785088] sr 1:0:0:0: [sr0] tag#0 Add. Sense: Medium not present [ 123.785584] sr 1:0:0:0: [sr0] tag#0 CDB: Read(10) 28 00 00 00 00 00 00 00 40 00 [ 123.786151] I/O error, dev sr0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 32 prio class 2 [ 123.787651] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.788118] I/O error, dev sr0, sector 0 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 123.788658] Buffer I/O error on dev sr0, logical block 0, async page read [ 123.789296] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.789313] I/O error, dev sr0, sector 1 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 123.789329] Buffer I/O error on dev sr0, logical block 1, async page read [ 123.789482] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.789499] I/O error, dev sr0, sector 2 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 123.789514] Buffer I/O error on dev sr0, logical block 2, async page read [ 123.789668] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.789684] I/O error, dev sr0, sector 3 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 123.789699] Buffer I/O error on dev sr0, logical block 3, async page read [ 123.789840] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.789856] I/O error, dev sr0, sector 4 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 123.789871] Buffer I/O error on dev sr0, logical block 4, async page read [ 123.790356] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.790374] I/O error, dev sr0, sector 5 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 123.790389] Buffer I/O error on dev sr0, logical block 5, async page read [ 123.790943] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.790960] I/O error, dev sr0, sector 6 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 123.790990] Buffer I/O error on dev sr0, logical block 6, async page read [ 123.791149] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.791166] I/O error, dev sr0, sector 7 op 0x0:(READ) flags 0x0 phys_seg 1 prio class 2 [ 123.791180] Buffer I/O error on dev sr0, logical block 7, async page read [ 123.936645] sr 1:0:0:0: [sr0] tag#0 FAILED Result: hostbyte=DID_OK driverbyte=DRIVER_OK cmd_age=0s [ 123.937484] sr 1:0:0:0: [sr0] tag#0 Sense Key : Not Ready [current] [ 123.938009] sr 1:0:0:0: [sr0] tag#0 Add. Sense: Medium not present [ 123.938485] sr 1:0:0:0: [sr0] tag#0 CDB: Read(10) 28 00 00 00 00 00 00 00 40 00 [ 123.939035] I/O error, dev sr0, sector 0 op 0x0:(READ) flags 0x80700 phys_seg 32 prio class 2 [ 123.940190] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.940627] Buffer I/O error on dev sr0, logical block 0, async page read [ 123.941459] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.941844] Buffer I/O error on dev sr0, logical block 1, async page read [ 123.942422] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.942895] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.943383] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.943826] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.944598] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 123.945125] sr 1:0:0:0: [sr0] tag#0 unaligned transfer [ 126.624284] Bluetooth: hci0: unexpected cc 0x0c03 length: 249 > 1 [ 126.625402] Bluetooth: hci0: unexpected cc 0x1003 length: 249 > 9 [ 126.626217] Bluetooth: hci0: unexpected cc 0x1001 length: 249 > 9 [ 126.627580] Bluetooth: hci0: unexpected cc 0x0c23 length: 249 > 4 [ 126.628473] Bluetooth: hci0: unexpected cc 0x0c25 length: 249 > 3 [ 126.629250] Bluetooth: hci0: unexpected cc 0x0c38 length: 249 > 2 [ 126.631624] Bluetooth: hci0: HCI_REQ-0x0c1a [ 128.630026] Bluetooth: hci5: Opcode 0x c03 failed: -110 [ 128.630087] Bluetooth: hci2: Opcode 0x c03 failed: -110 [ 128.630911] Bluetooth: hci4: Opcode 0x c03 failed: -110 [ 128.632362] Bluetooth: hci3: Opcode 0x c03 failed: -110 [ 128.694084] Bluetooth: hci0: command 0x0409 tx timeout [ 130.742076] Bluetooth: hci0: command 0x041b tx timeout [ 132.790023] Bluetooth: hci0: command 0x040f tx timeout [ 133.047064] Bluetooth: hci2: Opcode 0x c03 failed: -110 [ 133.110008] Bluetooth: hci4: Opcode 0x c03 failed: -110 [ 133.110008] Bluetooth: hci5: Opcode 0x c03 failed: -110 [ 133.111725] Bluetooth: hci3: Opcode 0x c03 failed: -110 VM DIAGNOSIS: 15:18:19 Registers: info registers vcpu 0 RAX=0000000000000020 RBX=00000000000003f8 RCX=0000000000000000 RDX=00000000000003f8 RSI=ffffffff823bb0f1 RDI=ffffffff8765a9a0 RBP=ffffffff8765a960 RSP=ffff88803f547690 R8 =0000000000000001 R9 =000000000000000a R10=0000000000000020 R11=0000000000000001 R12=0000000000000020 R13=ffffffff8765a960 R14=0000000000000010 R15=ffffffff823bb0e0 RIP=ffffffff823bb149 RFL=00000002 [-------] CPL=0 II=0 A20=1 SMM=0 HLT=0 ES =0000 0000000000000000 00000000 00000000 CS =0010 0000000000000000 ffffffff 00a09b00 DPL=0 CS64 [-RA] SS =0018 0000000000000000 ffffffff 00c09300 DPL=0 DS [-WA] DS =0000 0000000000000000 00000000 00000000 FS =0000 00007f09cf349700 00000000 00000000 GS =0000 ffff88806ce00000 00000000 00000000 LDT=0000 fffffe0000000000 00000000 00000000 TR =0040 fffffe0000003000 00004087 00008b00 DPL=0 TSS64-busy GDT= fffffe0000001000 0000007f IDT= fffffe0000000000 00000fff CR0=80050033 CR2=00007f50a6f60000 CR3=000000000f43c000 CR4=00350ef0 DR0=0000000000000000 DR1=0000000000000000 DR2=0000000000000000 DR3=0000000000000000 DR6=00000000ffff0ff0 DR7=0000000000000400 EFER=0000000000000d01 FCW=037f FSW=0000 [ST=0] FTW=00 MXCSR=00001f80 FPR0=0000000000000000 0000 FPR1=0000000000000000 0000 FPR2=0000000000000000 0000 FPR3=0000000000000000 0000 FPR4=0000000000000000 0000 FPR5=0000000000000000 0000 FPR6=0000000000000000 0000 FPR7=0000000000000000 0000 YMM00=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM01=0000000000000000 0000000000000000 00007f09d1eba7c0 00007f09d1eba7c8 YMM02=0000000000000000 0000000000000000 00007f09d1eba7e0 00007f09d1eba7c0 YMM03=0000000000000000 0000000000000000 00007f09d1eba7c8 00007f09d1eba7c0 YMM04=0000000000000000 0000000000000000 ffffffffffffffff ffffffff00000000 YMM05=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM06=0000000000000000 0000000000000000 0000000000000000 000000524f525245 YMM07=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM08=0000000000000000 0000000000000000 0000000000000000 00524f5252450040 YMM09=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM10=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM11=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM12=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM13=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM14=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM15=0000000000000000 0000000000000000 0000000000000000 0000000000000000 info registers vcpu 1 RAX=0000000000000000 RBX=ffffea00001e44c0 RCX=ffffffff81746c7a RDX=ffff88800bb70000 RSI=0000000000000000 RDI=0000000000000007 RBP=0000000000000000 RSP=ffff88803f4b78b0 R8 =0000000000000007 R9 =0000000000000000 R10=0000000000000000 R11=0000000000000001 R12=ffffea00001e44c8 R13=0000000000000000 R14=0000000000000000 R15=ffffea00001e44c0 RIP=ffffffff81460c30 RFL=00000246 [---Z-P-] CPL=0 II=0 A20=1 SMM=0 HLT=0 ES =0000 0000000000000000 00000000 00000000 CS =0010 0000000000000000 ffffffff 00a09b00 DPL=0 CS64 [-RA] SS =0018 0000000000000000 ffffffff 00c09300 DPL=0 DS [-WA] DS =0000 0000000000000000 00000000 00000000 FS =0000 0000000000000000 00000000 00000000 GS =0000 ffff88806cf00000 00000000 00000000 LDT=0000 fffffe0000000000 00000000 00000000 TR =0040 fffffe000004a000 00004087 00008b00 DPL=0 TSS64-busy GDT= fffffe0000048000 0000007f IDT= fffffe0000000000 00000fff CR0=80050033 CR2=00007f41616b96f4 CR3=0000000017c82000 CR4=00350ee0 DR0=0000000000000000 DR1=0000000000000000 DR2=0000000000000000 DR3=0000000000000000 DR6=00000000ffff0ff0 DR7=0000000000000400 EFER=0000000000000d01 FCW=037f FSW=0000 [ST=0] FTW=00 MXCSR=00001f80 FPR0=0000000000000000 0000 FPR1=0000000000000000 0000 FPR2=0000000000000000 0000 FPR3=0000000000000000 0000 FPR4=0000000000000000 0000 FPR5=0000000000000000 0000 FPR6=0000000000000000 0000 FPR7=0000000000000000 0000 YMM00=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM01=0000000000000000 0000000000000000 00007f41616c8470 00007f41616c7f20 YMM02=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM03=0000000000000000 0000000000000000 756e20796d6d7564 20736e6f6974706f YMM04=0000000000000000 0000000000000000 2f2f2f2f2f2f2f2f 2f2f2f2f2f2f2f2f YMM05=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM06=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM07=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM08=0000000000000000 0000000000000000 73253d656d616e6c 6165722073253d73 YMM09=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM10=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM11=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM12=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM13=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM14=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM15=0000000000000000 0000000000000000 0000000000000000 0000000000000000