Warning: Permanently added '[localhost]:27390' (ECDSA) to the list of known hosts. 2022/10/02 18:06:32 fuzzer started 2022/10/02 18:06:32 dialing manager at localhost:35095 syzkaller login: [ 46.345298] cgroup: Unknown subsys name 'net' [ 46.418921] cgroup: Unknown subsys name 'rlimit' 2022/10/02 18:06:44 syscalls: 2215 2022/10/02 18:06:44 code coverage: enabled 2022/10/02 18:06:44 comparison tracing: enabled 2022/10/02 18:06:44 extra coverage: enabled 2022/10/02 18:06:44 setuid sandbox: enabled 2022/10/02 18:06:44 namespace sandbox: enabled 2022/10/02 18:06:44 Android sandbox: enabled 2022/10/02 18:06:44 fault injection: enabled 2022/10/02 18:06:44 leak checking: enabled 2022/10/02 18:06:44 net packet injection: enabled 2022/10/02 18:06:44 net device setup: enabled 2022/10/02 18:06:44 concurrency sanitizer: /sys/kernel/debug/kcsan does not exist 2022/10/02 18:06:44 devlink PCI setup: PCI device 0000:00:10.0 is not available 2022/10/02 18:06:44 USB emulation: enabled 2022/10/02 18:06:44 hci packet injection: enabled 2022/10/02 18:06:44 wifi device emulation: failed to parse kernel version (6.0.0-rc7-next-20220930) 2022/10/02 18:06:44 802.15.4 emulation: enabled 2022/10/02 18:06:45 fetching corpus: 50, signal 35335/37088 (executing program) 2022/10/02 18:06:45 fetching corpus: 100, signal 44899/48242 (executing program) 2022/10/02 18:06:45 fetching corpus: 150, signal 51278/56186 (executing program) 2022/10/02 18:06:45 fetching corpus: 200, signal 56672/63053 (executing program) 2022/10/02 18:06:45 fetching corpus: 250, signal 62006/69736 (executing program) 2022/10/02 18:06:45 fetching corpus: 300, signal 67694/76772 (executing program) 2022/10/02 18:06:45 fetching corpus: 350, signal 73208/83570 (executing program) 2022/10/02 18:06:45 fetching corpus: 400, signal 75211/86962 (executing program) 2022/10/02 18:06:46 fetching corpus: 450, signal 80620/93489 (executing program) 2022/10/02 18:06:46 fetching corpus: 500, signal 84207/98224 (executing program) 2022/10/02 18:06:46 fetching corpus: 550, signal 87057/102265 (executing program) 2022/10/02 18:06:46 fetching corpus: 600, signal 90342/106646 (executing program) 2022/10/02 18:06:46 fetching corpus: 650, signal 93904/111249 (executing program) 2022/10/02 18:06:46 fetching corpus: 700, signal 95970/114436 (executing program) 2022/10/02 18:06:46 fetching corpus: 750, signal 98792/118243 (executing program) 2022/10/02 18:06:46 fetching corpus: 800, signal 100128/120723 (executing program) 2022/10/02 18:06:47 fetching corpus: 850, signal 102322/123959 (executing program) 2022/10/02 18:06:47 fetching corpus: 900, signal 104506/127170 (executing program) 2022/10/02 18:06:47 fetching corpus: 950, signal 106655/130310 (executing program) 2022/10/02 18:06:47 fetching corpus: 1000, signal 109253/133817 (executing program) 2022/10/02 18:06:47 fetching corpus: 1050, signal 111143/136661 (executing program) 2022/10/02 18:06:47 fetching corpus: 1100, signal 114599/140778 (executing program) 2022/10/02 18:06:47 fetching corpus: 1150, signal 116415/143526 (executing program) 2022/10/02 18:06:48 fetching corpus: 1200, signal 119621/147397 (executing program) 2022/10/02 18:06:48 fetching corpus: 1250, signal 121398/150056 (executing program) 2022/10/02 18:06:48 fetching corpus: 1300, signal 122942/152463 (executing program) 2022/10/02 18:06:48 fetching corpus: 1350, signal 124508/154846 (executing program) 2022/10/02 18:06:48 fetching corpus: 1400, signal 125883/157100 (executing program) 2022/10/02 18:06:48 fetching corpus: 1450, signal 127001/159069 (executing program) 2022/10/02 18:06:48 fetching corpus: 1500, signal 129003/161780 (executing program) 2022/10/02 18:06:48 fetching corpus: 1550, signal 131008/164449 (executing program) 2022/10/02 18:06:48 fetching corpus: 1600, signal 132377/166561 (executing program) 2022/10/02 18:06:49 fetching corpus: 1650, signal 133216/168241 (executing program) 2022/10/02 18:06:49 fetching corpus: 1700, signal 134382/170187 (executing program) 2022/10/02 18:06:49 fetching corpus: 1750, signal 135546/172070 (executing program) 2022/10/02 18:06:49 fetching corpus: 1800, signal 137665/174766 (executing program) 2022/10/02 18:06:49 fetching corpus: 1850, signal 138638/176517 (executing program) 2022/10/02 18:06:49 fetching corpus: 1900, signal 139810/178438 (executing program) 2022/10/02 18:06:49 fetching corpus: 1950, signal 140799/180180 (executing program) 2022/10/02 18:06:49 fetching corpus: 2000, signal 142614/182517 (executing program) 2022/10/02 18:06:50 fetching corpus: 2050, signal 143673/184302 (executing program) 2022/10/02 18:06:50 fetching corpus: 2100, signal 144714/186015 (executing program) 2022/10/02 18:06:50 fetching corpus: 2150, signal 145307/187376 (executing program) 2022/10/02 18:06:50 fetching corpus: 2200, signal 146711/189363 (executing program) 2022/10/02 18:06:50 fetching corpus: 2250, signal 147783/191035 (executing program) 2022/10/02 18:06:50 fetching corpus: 2300, signal 148332/192384 (executing program) 2022/10/02 18:06:50 fetching corpus: 2350, signal 149177/193892 (executing program) 2022/10/02 18:06:50 fetching corpus: 2400, signal 150512/195719 (executing program) 2022/10/02 18:06:50 fetching corpus: 2450, signal 151348/197243 (executing program) 2022/10/02 18:06:51 fetching corpus: 2500, signal 152093/198721 (executing program) 2022/10/02 18:06:51 fetching corpus: 2550, signal 153519/200602 (executing program) 2022/10/02 18:06:51 fetching corpus: 2600, signal 155576/202857 (executing program) 2022/10/02 18:06:51 fetching corpus: 2650, signal 156699/204480 (executing program) 2022/10/02 18:06:51 fetching corpus: 2700, signal 158872/206665 (executing program) 2022/10/02 18:06:51 fetching corpus: 2750, signal 160026/208283 (executing program) 2022/10/02 18:06:51 fetching corpus: 2800, signal 160541/209446 (executing program) 2022/10/02 18:06:51 fetching corpus: 2850, signal 161170/210629 (executing program) 2022/10/02 18:06:52 fetching corpus: 2900, signal 161887/211947 (executing program) 2022/10/02 18:06:52 fetching corpus: 2950, signal 163027/213503 (executing program) 2022/10/02 18:06:52 fetching corpus: 3000, signal 163844/214867 (executing program) 2022/10/02 18:06:52 fetching corpus: 3050, signal 164240/215958 (executing program) 2022/10/02 18:06:52 fetching corpus: 3100, signal 164987/217211 (executing program) 2022/10/02 18:06:52 fetching corpus: 3150, signal 166158/218688 (executing program) 2022/10/02 18:06:52 fetching corpus: 3200, signal 166800/219934 (executing program) 2022/10/02 18:06:52 fetching corpus: 3250, signal 167485/221147 (executing program) 2022/10/02 18:06:52 fetching corpus: 3300, signal 168081/222299 (executing program) 2022/10/02 18:06:52 fetching corpus: 3350, signal 170016/224145 (executing program) 2022/10/02 18:06:53 fetching corpus: 3400, signal 170573/225249 (executing program) 2022/10/02 18:06:53 fetching corpus: 3450, signal 171995/226796 (executing program) 2022/10/02 18:06:53 fetching corpus: 3500, signal 172540/227853 (executing program) 2022/10/02 18:06:53 fetching corpus: 3550, signal 173212/228973 (executing program) 2022/10/02 18:06:53 fetching corpus: 3600, signal 173933/230088 (executing program) 2022/10/02 18:06:53 fetching corpus: 3650, signal 174415/231087 (executing program) 2022/10/02 18:06:53 fetching corpus: 3700, signal 174971/232132 (executing program) 2022/10/02 18:06:53 fetching corpus: 3750, signal 175884/233362 (executing program) 2022/10/02 18:06:53 fetching corpus: 3800, signal 176770/234545 (executing program) 2022/10/02 18:06:54 fetching corpus: 3850, signal 178470/236065 (executing program) 2022/10/02 18:06:54 fetching corpus: 3900, signal 179811/237413 (executing program) 2022/10/02 18:06:54 fetching corpus: 3950, signal 180645/238550 (executing program) 2022/10/02 18:06:54 fetching corpus: 4000, signal 181301/239598 (executing program) 2022/10/02 18:06:54 fetching corpus: 4050, signal 182290/240743 (executing program) 2022/10/02 18:06:54 fetching corpus: 4100, signal 182857/241726 (executing program) 2022/10/02 18:06:54 fetching corpus: 4150, signal 183329/242653 (executing program) 2022/10/02 18:06:54 fetching corpus: 4200, signal 183712/243585 (executing program) 2022/10/02 18:06:55 fetching corpus: 4250, signal 184353/244535 (executing program) 2022/10/02 18:06:55 fetching corpus: 4300, signal 184915/245501 (executing program) 2022/10/02 18:06:55 fetching corpus: 4350, signal 185693/246586 (executing program) 2022/10/02 18:06:55 fetching corpus: 4400, signal 186163/247466 (executing program) 2022/10/02 18:06:55 fetching corpus: 4450, signal 186614/248366 (executing program) 2022/10/02 18:06:55 fetching corpus: 4500, signal 187524/249409 (executing program) 2022/10/02 18:06:55 fetching corpus: 4550, signal 188315/250369 (executing program) 2022/10/02 18:06:55 fetching corpus: 4600, signal 189045/251301 (executing program) 2022/10/02 18:06:55 fetching corpus: 4650, signal 189799/252275 (executing program) 2022/10/02 18:06:56 fetching corpus: 4700, signal 190635/253258 (executing program) 2022/10/02 18:06:56 fetching corpus: 4750, signal 191531/254247 (executing program) 2022/10/02 18:06:56 fetching corpus: 4800, signal 192385/255164 (executing program) 2022/10/02 18:06:56 fetching corpus: 4850, signal 192728/255898 (executing program) 2022/10/02 18:06:56 fetching corpus: 4900, signal 193743/256852 (executing program) 2022/10/02 18:06:56 fetching corpus: 4950, signal 194390/257724 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/258460 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/259064 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/259719 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/260351 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/260977 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/261620 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/262266 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/262869 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/263521 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/264168 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/264769 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/265382 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/266014 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/266651 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/267312 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/267903 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/268531 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/269176 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/269795 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/270395 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/271047 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/271690 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/272307 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/272944 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/273594 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/274207 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/274829 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/275486 (executing program) 2022/10/02 18:06:56 fetching corpus: 4997, signal 194783/276170 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/276760 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/277385 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/278041 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/278683 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/279338 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/279972 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/280623 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/281243 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/281850 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/282445 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/283060 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/283726 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/283980 (executing program) 2022/10/02 18:06:57 fetching corpus: 4997, signal 194783/283980 (executing program) 2022/10/02 18:06:59 starting 8 fuzzer processes 18:06:59 executing program 0: ioctl$AUTOFS_DEV_IOCTL_CLOSEMOUNT(0xffffffffffffffff, 0xc0189375, &(0x7f0000000000)={{0x1, 0x1, 0x18, 0xffffffffffffffff}, './file0\x00'}) r1 = fsmount(r0, 0x0, 0x4) r2 = dup2(r1, r0) fcntl$setsig(r1, 0xa, 0x29) r3 = pidfd_getfd(r2, r2, 0x0) sendmsg$BATADV_CMD_GET_ORIGINATORS(r3, &(0x7f0000000100)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x2}, 0xc, &(0x7f00000000c0)={&(0x7f0000000080)={0x1c, 0x0, 0x200, 0x70bd26, 0x25dfdbff, {}, [@BATADV_ATTR_MULTICAST_FANOUT={0x8, 0x3c, 0x5}]}, 0x1c}, 0x1, 0x0, 0x0, 0x835498092c4def30}, 0x800) fcntl$setflags(r2, 0x2, 0x1) ioctl$AUTOFS_DEV_IOCTL_REQUESTER(r2, 0xc018937b, &(0x7f0000000140)={{0x1, 0x1, 0x18, 0xffffffffffffffff}, './file0\x00'}) ioctl$sock_inet_SIOCSIFADDR(r4, 0x8916, &(0x7f0000000180)={'syzkaller0\x00'}) r5 = fsmount(r2, 0x1, 0x82) sendmsg$IPVS_CMD_SET_CONFIG(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f00000001c0)={0x10, 0x0, 0x0, 0x400000}, 0xc, &(0x7f00000002c0)={&(0x7f0000000200)={0x90, 0x0, 0x200, 0x70bd25, 0x25dfdbfd, {}, [@IPVS_CMD_ATTR_TIMEOUT_TCP_FIN={0x8, 0x5, 0xda03}, @IPVS_CMD_ATTR_DAEMON={0xc, 0x3, 0x0, 0x1, [@IPVS_DAEMON_ATTR_SYNC_ID={0x8, 0x3, 0x1}]}, @IPVS_CMD_ATTR_TIMEOUT_TCP_FIN={0x8, 0x5, 0x5}, @IPVS_CMD_ATTR_DAEMON={0x60, 0x3, 0x0, 0x1, [@IPVS_DAEMON_ATTR_MCAST_IFN={0x14, 0x2, 'erspan0\x00'}, @IPVS_DAEMON_ATTR_STATE={0x8}, @IPVS_DAEMON_ATTR_MCAST_GROUP6={0x14, 0x6, @private1={0xfc, 0x1, '\x00', 0x1}}, @IPVS_DAEMON_ATTR_MCAST_IFN={0x14, 0x2, 'xfrm0\x00'}, @IPVS_DAEMON_ATTR_SYNC_ID={0x8, 0x3, 0x3}, @IPVS_DAEMON_ATTR_MCAST_GROUP={0x8, 0x5, @multicast2}, @IPVS_DAEMON_ATTR_STATE={0x8, 0x1, 0x1}]}]}, 0x90}, 0x1, 0x0, 0x0, 0x4000}, 0x4044080) r6 = syz_open_dev$mouse(&(0x7f0000000340), 0x9, 0x10000) fsconfig$FSCONFIG_CMD_CREATE(r6, 0x6, 0x0, 0x0, 0x0) r7 = openat$full(0xffffffffffffff9c, &(0x7f0000000380), 0x6600, 0x0) ioctl$EVIOCSKEYCODE_V2(r7, 0x40284504, &(0x7f00000003c0)={0x3f, 0xf, 0x1, 0x2, "a1c92728605cb88765a991d754f5a12e1eb68db5e21d7bed6dd399727911dd47"}) setsockopt$packet_drop_memb(0xffffffffffffffff, 0x107, 0x2, &(0x7f0000000400)={0x0, 0x1, 0x6, @local}, 0x10) ioctl$AUTOFS_DEV_IOCTL_CLOSEMOUNT(r5, 0xc0189375, &(0x7f0000000440)={{0x1, 0x1, 0x18, r5}, './file0\x00'}) sendmsg$NFNL_MSG_ACCT_GET(r8, &(0x7f0000000580)={&(0x7f0000000480)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000540)={&(0x7f00000004c0)={0x4c, 0x1, 0x7, 0x401, 0x0, 0x0, {0x2, 0x0, 0x6}, [@NFACCT_FILTER={0x14, 0x7, 0x0, 0x1, [@NFACCT_FILTER_MASK={0x8, 0x1, 0x1, 0x0, 0x9}, @NFACCT_FILTER_MASK={0x8, 0x1, 0x1, 0x0, 0x2}]}, @NFACCT_BYTES={0xc, 0x3, 0x1, 0x0, 0x9}, @NFACCT_PKTS={0xc, 0x2, 0x1, 0x0, 0x100}, @NFACCT_QUOTA={0xc, 0x6, 0x1, 0x0, 0x1}]}, 0x4c}, 0x1, 0x0, 0x0, 0x8000}, 0x8000) ioctl$ifreq_SIOCGIFINDEX_vcan(r2, 0x8933, &(0x7f0000000600)={'vxcan1\x00', 0x0}) sendmsg$DCCPDIAG_GETSOCK(0xffffffffffffffff, &(0x7f0000000940)={&(0x7f00000005c0)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000900)={&(0x7f0000000640)={0x2b0, 0x13, 0x400, 0x70bd2d, 0x25dfdbfe, {0xb, 0x62, 0x6, 0x4, {0x4e22, 0x4e23, [0x81, 0xc4, 0x7fff, 0x20002], [0x3, 0x8, 0x2, 0x4], r9, [0x10000, 0x7]}, 0x9e, 0x6}, [@INET_DIAG_REQ_BYTECODE={0x93, 0x1, "c5fbaf08f6fa8c7ad32d7fdb355520688eb6a57962992f18f0e709a3132ed7032f3f6b2b98b50824fa327e8c2c524c142f7766cc9ecb3bb33268d93fb2f6dcee358cc61b28b0cc6bfc3f3ff5bb95f9b86d5168f4e06e849810a523467a55e9967b1c694f51dbfa22ea4cbb9810796d34619fb011a78ea1947b2f9521969897dc8052d7d236d5a5449befffe57c2b49"}, @INET_DIAG_REQ_BYTECODE={0x63, 0x1, "92e0ee1dd64f0ad4a351651761f989af3266b648d51966ed45290708dbabdece0b7ee93eaea8af7d623328bf17d09b40abbea8ec45cd790dd361bc5eff5d404040b66d9e01e2ef1b3d85d39f8cebf615a22f615a9f0231f7ed5e38915a2832"}, @INET_DIAG_REQ_BYTECODE={0x8e, 0x1, "74b28a3c8dd1584fc878f7547bbb712f98e787e8b63620b447929f2f14fa940c8a65768427c336f913661620b5dbc44c9cab6c3b56375fe8c7d1d52a8d5c1a9b619d43b9082e31aa64d3a0383eb5c410af133cdd11fbee6bf760e15f5bd0e135c808d74cd5b831aaa4595fe60fcb5697d29ce0101a4ce844e0121c1d6192b911fd0acab4ffa8b34c21e4"}, @INET_DIAG_REQ_BYTECODE={0x1f, 0x1, "3ef4b024c1b6672ea1dcf72450382ab30c92b76cc9b51a5f201207"}, @INET_DIAG_REQ_BYTECODE={0x7c, 0x1, "65a69a8bec3de217fd1cb3cc5398d4360540aff4804c2f55080dc61f1f563755d6694ea23acde101ecf20e624d0bc871b412489ea8e098b572a36623d46632ec794c82f91869a823065784a0e5c89565f5d4d6d81bda831de7bd3b1f91dbd584a02f71477d5d1def5b0ee3c5667d58bcf9b6ba5e9c5c92d3"}, @INET_DIAG_REQ_BYTECODE={0x40, 0x1, "ad7ade8117c58174328428cc520d8a083b34e06f5957d0c16fc0a9ee16d0357143a77a06584a3a7deace0aaa679211f9a51af8fbce6cd7f79d74ed5a"}]}, 0x2b0}, 0x1, 0x0, 0x0, 0x40000}, 0x20040010) 18:06:59 executing program 1: ioctl$AUTOFS_IOC_READY(0xffffffffffffffff, 0x9360, 0x8) r0 = signalfd4(0xffffffffffffffff, &(0x7f0000000000)={[0x4]}, 0x8, 0x80000) ioctl$AUTOFS_DEV_IOCTL_PROTOVER(r0, 0xc0189372, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0, {0x6}}, './file0\x00'}) fcntl$F_SET_RW_HINT(r0, 0x40c, &(0x7f0000000080)=0x3) epoll_ctl$EPOLL_CTL_ADD(r0, 0x1, r1, &(0x7f00000000c0)={0x20000000}) r2 = openat$urandom(0xffffffffffffff9c, &(0x7f0000000100), 0x2000, 0x0) r3 = eventfd(0x3) tee(r2, r3, 0x4, 0xa) r4 = mq_open(&(0x7f0000000200)='%*/($\x83.&@}\x00', 0x0, 0x10, &(0x7f0000000240)={0x2, 0x0, 0x7, 0x4}) r5 = syz_init_net_socket$802154_dgram(0x24, 0x2, 0x0) pipe(&(0x7f0000000440)={0xffffffffffffffff, 0xffffffffffffffff}) io_submit(0x0, 0x3, &(0x7f00000004c0)=[&(0x7f00000001c0)={0x0, 0x0, 0x0, 0x0, 0xffff, 0xffffffffffffffff, &(0x7f0000000140)="ed68298952a67b9d3ae72a6f66692517b8a9dfa8fc941301e05acae92e8c956de0c8635d75390dba4556d2403eda0cdb0fa556dfd053b2223ba8e11f2220de4130349ce24b5de211e1a1d51f79319f615466e160eff28ac9c4c4200f7895b4f3c9723b7dd9b5416e011d81107f54f0cb352353a31493c4d18aa18c5dfb", 0x7d, 0x1, 0x0, 0x0, r0}, &(0x7f0000000340)={0x0, 0x0, 0x0, 0x7, 0x4436, r4, &(0x7f0000000280)="b718e2f3876a0a6e2509eda1e85dfde18fdc8e28b9dbe65c6a20c2d48f7e1a65424fb5f54e4a5ae8bc1fe6a03ba975503b755290c63e70c50b661ebe76a4ca19d2ce18a49d78562185e4e57a019264f05727ddb14dc6904c711d093e8c5c34bd9d80da4236472a3a649da50544907aa0bf5c3941e22731fe3db33384cd34fdc9e0a6f8ab6008547fbc86", 0x8a, 0x7f, 0x0, 0x1}, &(0x7f0000000480)={0x0, 0x0, 0x0, 0x5, 0x44, r5, &(0x7f0000000380)="2a618e5ee296834263830a1b855b618fe8732da9c25780fb783ea065fef1fe650f53ee39b10b7071938bd2d65787f7a87c05ce5c9d74800b462debcaa9948af316a6c3dc0de1a1e1bff8024e0f962076cd206a7f08405379ae16e7e8907f8fdad94c91e11e40193fe061c5847ebcdbd8315fae5c33a240300b629524859b9d5a5b5cb482c2e77ef704", 0x89, 0xed, 0x0, 0x0, r7}]) r8 = syz_open_dev$vcsu(&(0x7f0000000500), 0xfffffffffffffff9, 0x2201) clock_gettime(0x0, &(0x7f0000000600)={0x0, 0x0}) mq_timedsend(r8, &(0x7f0000000540)="5bb45a39b7ab6491ee0b726715afdb383bbbc16da6ae935f7c564fe5ed8c0eb4c13d930ccc56be93ab93fbe82baa37d69beb4f7da1472e8b989602cb2c80115766a805c08c205a74bf1947148f4009ccd983661d689410a26d40ef0bc17e516d5f4806849283b0394f2b0223f0065e04c68218fa4f20b9eebd338963f602cc75dcfb121fe8ce08ef89ecfef78a29b86ecd247d32155babbdc2", 0x99, 0x6c16, &(0x7f0000000640)={r9, r10+60000000}) sendfile(r4, 0xffffffffffffffff, 0x0, 0x5) ioctl$PERF_EVENT_IOC_SET_FILTER(r6, 0x40082406, &(0x7f0000000680)='[\x00') fallocate(0xffffffffffffffff, 0x6e, 0x1ff, 0x8) ioctl$AUTOFS_DEV_IOCTL_REQUESTER(r1, 0xc018937b, &(0x7f00000006c0)={{0x1, 0x1, 0x18, 0xffffffffffffffff, {0x0, 0xee01}}, './file0\x00'}) setsockopt$WPAN_WANTACK(r11, 0x0, 0x0, &(0x7f0000000700)=0x1, 0x4) 18:06:59 executing program 3: r0 = openat$selinux_attr(0xffffffffffffff9c, &(0x7f00000025c0)='/proc/thread-self/attr/sockcreate\x00', 0x2, 0x0) r1 = socket$inet6_tcp(0xa, 0x1, 0x0) r2 = openat$urandom(0xffffffffffffff9c, &(0x7f0000002600), 0x140, 0x0) clone3(&(0x7f00000027c0)={0x80882200, &(0x7f0000002640)=0xffffffffffffffff, &(0x7f0000002680), &(0x7f00000026c0)=0x0, {0x9}, &(0x7f0000002700), 0x0, &(0x7f0000002740)=""/1, &(0x7f0000002780)=[0xffffffffffffffff, 0x0, 0x0, 0xffffffffffffffff, 0x0], 0x5}, 0x58) sendmsg$unix(0xffffffffffffffff, &(0x7f0000002880)={&(0x7f0000000000)=@file={0x1, './file0\x00'}, 0x6e, &(0x7f0000002500)=[{&(0x7f0000000080)="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", 0x1000}, {&(0x7f0000001080)="08c21c17a3d2edf9d322e930b13c809ad9500ab1ea303ded6621037cd400e21128d02c1ba74c232a9feecc7c7ebdd4ae113ca1ecf610a6f2ba84b739a6392bd6c84ceddb72d4dd7456d494c28f76ec56329e3ac398adfe030aa19187830f485433a8be9889543505e7fe25c836246ee9442a63cc53ddbdb87d7ebf7df4f5e6f3ae0ad46de1f857a5a4a92fe5518a6bf46cac59b3a03b23335516da5c927d41cc3cf0dd8517896de7cc8ab511c0a962042a6fd83298b8d0679ce5573ffaf278e3579b2ed68b87335acd89810c8ff021d80d298102682cfc9210", 0xd9}, {&(0x7f0000001180)="4c005b64c36ce0dc7065005dc904069891ee7b3a7228ec08442e83d1fa39bba36c976cb37a6a087306d477137fdd49", 0x2f}, {&(0x7f00000011c0)="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", 0x1000}, {&(0x7f00000021c0)="984a81b575adf7aed0ccefb971e8003d1a23691541fd82655654e2c91ae08c6a424bbbb5f8dcd35610f035de2199214f99210a36cde46ab9890421a148df0d89c03506b943572bc3130a224cc89f53eba541f246cb36847c6280023eb31c71df0534e7e49c6de54bd82dd08a395d17df48636f03fae62cb093b9a5bee7b74d8b14dd75c8e56672a7949bac16ac7fefd79d85db08fd830846b473dbb0f7839d1626d9abf68c9f84428f22043ab81229d46362483993f5350bc47f1d2583186f779f1688e48f21125ef263f771aa63a1ea7e9d6fd9fae8b7e6c2a614aaffc5cc0993c15c", 0xe3}, {&(0x7f00000022c0)="285d72aaf67680c937a6b3b86d0a8db45e2178d522d03c5f2512b264a1a2064815d01b6a26cf14e48e552390a09709a7aadb33dfadae", 0x36}, {&(0x7f0000002300)="37f2476cee227560fa8d03ad49313cc6dee3390322b60241299163a69e9266544498dafcddb63926d38c7e02e234ab783e3f35dd06394b6775ba6e3499bbf75f74bfae69d2e510f4b016f74b10a99de2e10af0507c7f63ac3522ac1a3bd089ab0705c79c9f5ee27d6a5218b4fa47ee48efe983690a45ab7cceef04b124", 0x7d}, {&(0x7f0000002380)="a987e7b6b3580066027bcdb6ab8f21135ebd3ca616e3b0da291b349d1863f52795df98dcbcf2b4b88b6caba689d80415bfc272ef92b3c3796adcceba54f544b3f14711f12f94b9a4201dc89cbe4a8f14be1c972540de78c33b21c98b0b04cc412273467034aceabea31ff9c956bad6e2200ddea395b7569d9217dd93495c789d6a42a2cf946fde21d2240f50ef374b9c4dcdf70fae66d1b562fff8b263560e", 0x9f}, {&(0x7f0000002440)="0b14d866c4aea78dcdbf737479275510dab6f29330dd1e225a2dd431fb8f1188c0eb53b430f93742aa28a581bb357a9828c4abcf67754a05f9c165f13508252cc6a6485953babd25578a3bd4f2d8442810fd6984c89c066e74bafdda54770924f03dac61716f8fd9115079c4e14a44ea7a2d81eadc7cc9827e0b6ac801011892a5214384c9fbb356ecbfd6757bd470f94f9cd6a31e654c44116a8e402690299d383bd5f8256b0d0688e467d3e41b51762219ef", 0xb3}], 0x9, &(0x7f0000002840)=[@rights={{0x28, 0x1, 0x1, [r0, 0xffffffffffffffff, r1, 0xffffffffffffffff, r2, r3]}}], 0x28, 0x24004000}, 0x40000) setsockopt$WPAN_SECURITY(0xffffffffffffffff, 0x0, 0x1, &(0x7f00000028c0)=0x1, 0x4) r5 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000002900)='net/fib_triestat\x00') ioctl$RNDGETENTCNT(r5, 0x80045200, &(0x7f0000002940)) r6 = openat$cgroup_ro(r5, &(0x7f0000002980)='blkio.bfq.time_recursive\x00', 0x0, 0x0) r7 = openat(r6, &(0x7f00000029c0)='./file0\x00', 0x18100, 0x62) write$selinux_attr(r5, &(0x7f0000002a00)='system_u:object_r:netlabel_mgmt_exec_t:s0\x00', 0x2a) r8 = openat$sysfs(0xffffffffffffff9c, &(0x7f0000002a40)='/sys/kernel/sunrpc', 0x149102, 0x80) write$selinux_attr(r8, &(0x7f0000002a80)='system_u:object_r:devlog_t:s0\x00', 0x1e) bind$802154_raw(r7, &(0x7f0000002ac0)={0x24, @short={0x2, 0x1, 0xffff}}, 0x14) r9 = openat$incfs(r8, &(0x7f0000002b80)='.log\x00', 0x181402, 0xeac06a36100117c3) perf_event_open(&(0x7f0000002b00)={0x4, 0x80, 0x6, 0x9b, 0x81, 0x6, 0x0, 0xbd, 0x20000, 0x6, 0x0, 0x1, 0x0, 0x1, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, 0x1, 0x1, 0x0, 0x1, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, 0x1, 0x0, 0x1, 0x1, 0x1, 0x1, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, @perf_config_ext={0x7fffffff, 0x1}, 0x4000, 0x81, 0x7, 0x0, 0x4, 0x1000, 0x1, 0x0, 0x1, 0x0, 0x504}, r4, 0xf, r9, 0x3) ioctl$EVIOCSREP(r6, 0x40084503, &(0x7f0000002bc0)=[0x0, 0x7]) ioctl$sock_inet_SIOCGIFDSTADDR(r8, 0x8917, &(0x7f0000002c00)={'veth0_to_hsr\x00', {0x2, 0x0, @multicast2}}) ioctl$F2FS_IOC_RELEASE_COMPRESS_BLOCKS(r3, 0x8008f512, &(0x7f0000002c40)) getsockopt$inet6_tcp_int(r1, 0x6, 0x6, &(0x7f0000002c80), &(0x7f0000002cc0)=0x4) [ 73.032066] audit: type=1400 audit(1664734019.680:6): avc: denied { execmem } for pid=286 comm="syz-executor.1" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=process permissive=1 18:06:59 executing program 4: r0 = openat$vcs(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) ioctl$SNAPSHOT_SET_SWAP_AREA(r0, 0x400c330d, &(0x7f0000000040)={0x5, 0x5}) ioctl$SNAPSHOT_GET_IMAGE_SIZE(r0, 0x8008330e, &(0x7f0000000080)) r1 = openat$sndtimer(0xffffffffffffff9c, &(0x7f00000000c0), 0x200) ioctl$F2FS_IOC_GET_PIN_FILE(r1, 0x8004f50e, &(0x7f0000000100)) r2 = syz_open_dev$vcsa(&(0x7f00000001c0), 0x8, 0x4000) mount$9p_fd(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000180), 0x100000, &(0x7f0000000200)={'trans=fd,', {'rfdno', 0x3d, r2}, 0x2c, {'wfdno', 0x3d, r0}, 0x2c, {[{@afid={'afid', 0x3d, 0xa57e}}, {@msize={'msize', 0x3d, 0x4}}], [{@smackfsroot={'smackfsroot', 0x3d, '+,'}}, {@subj_user={'subj_user', 0x3d, '\xa1'}}, {@smackfshat={'smackfshat', 0x3d, '/dev/vcs\x00'}}, {@measure}]}}) ioctl$CDROMMULTISESSION(r2, 0x5310, &(0x7f00000002c0)={@lba=0x2, 0x0, 0x1}) ioctl$BTRFS_IOC_RM_DEV_V2(0xffffffffffffffff, 0x5000943a, &(0x7f0000000380)={{r1}, 0x0, 0x18, @inherit={0x58, &(0x7f0000000300)={0x0, 0x2, 0xfffffffffffffffe, 0x83, {0x0, 0x0, 0x100, 0xee, 0x20}, [0x6, 0x8]}}, @name="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"}) r3 = ioctl$TUNGETDEVNETNS(r2, 0x54e3, 0x0) readv(r3, &(0x7f0000001640)=[{&(0x7f0000001380)}, {&(0x7f00000013c0)}, {&(0x7f0000001400)=""/207, 0xcf}, {&(0x7f0000001500)=""/191, 0xbf}, {&(0x7f00000015c0)=""/82, 0x52}], 0x5) fsetxattr$trusted_overlay_nlink(0xffffffffffffffff, &(0x7f00000016c0), &(0x7f0000001700)={'L+', 0x8}, 0x16, 0x6) pipe2(&(0x7f0000001740)={0xffffffffffffffff, 0xffffffffffffffff}, 0x4000) ioctl$AUTOFS_DEV_IOCTL_CATATONIC(r2, 0xc0189379, &(0x7f0000001780)={{0x1, 0x1, 0x18, r0}, './file1\x00'}) ioctl$AUTOFS_DEV_IOCTL_CATATONIC(r5, 0xc0189379, &(0x7f00000017c0)={{0x1, 0x1, 0x18, r6}, './file0/file0\x00'}) inotify_add_watch(r2, &(0x7f0000001800)='./file0\x00', 0x1a000012) fsetxattr$security_evm(r2, &(0x7f0000001840), &(0x7f0000001880)=@v2={0x5, 0x1, 0x0, 0x1, 0xef, "739050d2d39fa4c0e0b20f312a1335e9a4d4f75f3427f77fc39b16295900427a75c27f187ceece3bb6cbba7dbb3df7f04e1df5de9244f1141e5ad66523386e7c19fa7ca7d4f5352273c2f6162bd7230947cba348f0b061e532f86318f11fe93bf2b96a37aeb6fa2fd41776ef49c5a4e76eb20af993c34f633a4d7115de9f2c8eb359908a3bf98badef4a410de5febc164af635290de3e538fb263058d4b4067824aafd30e115b165862e851d93da20d579fde7f75bb1d140f908a684cb5b5fea12ce82d6dcc00bfd2046d2d3b909eff4364f7bdab36b86ede25557b9752a32aefad758237fd9910d05b6db37b8f81b"}, 0xf8, 0x0) syz_open_procfs(0x0, &(0x7f0000001980)='cpuset\x00') ioctl$sock_FIOGETOWN(0xffffffffffffffff, 0x8903, &(0x7f00000019c0)=0x0) kcmp(r7, 0xffffffffffffffff, 0x3, 0xffffffffffffffff, r4) 18:06:59 executing program 5: r0 = accept(0xffffffffffffffff, &(0x7f0000000000)=@ax25={{0x3, @rose}, [@bcast, @bcast, @bcast, @default, @bcast]}, &(0x7f0000000080)=0x80) sendmsg$NL80211_CMD_JOIN_OCB(r0, &(0x7f0000000180)={&(0x7f00000000c0)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000000140)={&(0x7f0000000100)={0x38, 0x0, 0x10, 0x70bd2d, 0x25dfdbfd, {{}, {@val={0x8}, @val={0xc, 0x99, {0x9, 0x1d}}}}, [@NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0xfa}, @NL80211_ATTR_WIPHY_FREQ={0x8}]}, 0x38}}, 0x80) ioctl$sock_SIOCGIFINDEX_802154(0xffffffffffffffff, 0x8933, &(0x7f0000000200)={'wpan4\x00', 0x0}) sendmsg$NL802154_CMD_GET_SEC_DEVKEY(0xffffffffffffffff, &(0x7f00000002c0)={&(0x7f00000001c0)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f0000000280)={&(0x7f0000000240)={0x28, 0x0, 0x200, 0x70bd2b, 0x25dfdbfc, {}, [@NL802154_ATTR_WPAN_DEV={0xc, 0x6, 0x200000002}, @NL802154_ATTR_IFINDEX={0x8, 0x3, r1}]}, 0x28}, 0x1, 0x0, 0x0, 0x40400c0}, 0x4000045) sendmsg$GTP_CMD_DELPDP(r0, &(0x7f00000003c0)={&(0x7f0000000300)={0x10, 0x0, 0x0, 0x200000}, 0xc, &(0x7f0000000380)={&(0x7f0000000340)={0x30, 0x0, 0x10, 0x70bd2c, 0x25dfdbff, {}, [@GTPA_PEER_ADDRESS={0x8, 0x4, @private=0xa010101}, @GTPA_I_TEI={0x8}, @GTPA_TID={0xc, 0x3, 0x4}]}, 0x30}, 0x1, 0x0, 0x0, 0x44014}, 0x4004) pipe(&(0x7f0000000400)={0xffffffffffffffff, 0xffffffffffffffff}) getsockopt$inet_IP_XFRM_POLICY(r2, 0x0, 0x11, &(0x7f0000000440)={{{@in, @in6=@ipv4={""/10, ""/2, @local}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in=@local}, 0x0, @in=@multicast1}}, &(0x7f0000000540)=0xe8) sendmsg$TIPC_CMD_SHOW_PORTS(r0, &(0x7f0000000640)={&(0x7f0000000580)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000000600)={&(0x7f00000005c0)={0x1c, 0x0, 0x10, 0x70bd2a, 0x25dfdbff, {}, ["", "", "", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x80}, 0x4080) ioctl$BTRFS_IOC_SNAP_CREATE(0xffffffffffffffff, 0x50009401, &(0x7f0000000680)={{r0}, "f6995d4417ff138c6115afa3048d2aa52a50777571f97a3cc763381150508bcb4e7ad61575cd46e145a8712a0aeda06dc4274444493bdeceb57d4f8035966f1481043f47e4f478b99cb850e96f44ad9ad21c18d16a21ce9bd8e0ef20bcfe946970a23b0d25c2a5304b9c10247911c4aa2b2ece50d5a5d0b23f2c59ac2a4fee8f28e770ca20cab60216267bece6f7d0b9c9928595961e93499eb61e08e4714847de04be238f4a0415dff65cb34756ce3aabd4a23072d8073afce80c315e7c828a9e6c4ebc8344f0670d65e2c1101daee8964f7b1995ba8f240265766d9d615571abbeb0231065b62b308919548b777da77c7ed55191ed2212c2f327fdca2e9333a8edee8d64af47f5af8d4152917f60d7f4dacae1300b276aa0a401bffb8c486a74907f076a40fff82fcb146c4d866019539b6a5dd487023d9b3b30841687ac62e0450d76f7bffba1d8b122f460c8450b37b4c90a61ee9cfe1e67cc4b661077109ab7e9a8f10d8c81d8f826b90998a4a68ca53fbe4d1598399b332170e0b122202ad1b97f441967481d11a66c2a89ed3a181efb80f3c82f6f887c3fcc6e4c1090a835b220c36f23557e9a454ec51ddef0292f5c135b3b39d21a2da60b0c7c826ca69f5740ce5630903c57e4db3407f1894a0367b62d93f06969483c168b27aaeed53fe89106befab407a2d67b2724ffb770739618e02ff875f1cb272c58857f359b7be8aabf92e78d08ca17b52ad7c60d2f4941244e411cc136ff8618b08725b66a6584c6c6d26fee2ed30a2ca243ea842b397ba6c197be4d53cec71e98c29ea7cf456cedc51f738f91aba4881f896594876b951d24da3521b70b6e162639523ca595f9e10a747bfd13cd0bd0d890b5a160122d5ba16b4a53e4110a770a9463f22063bbb48c93f7dee20d5e2b5e801ee7486f1602ba22e71cc56c91dc02999e6e3f5765df756c82cc27d965ae111be6e3acc0071d41910bfc356ebb024d5a874e93643d82d5016b846e2a52201dd126ae9a74f02de59f086fbd95040f2a23971970bace8880e396068fe435220e5ce174815ad47eaf9908996a26aabaed706cddbc2e972662e445d76c4e7f6f8327c02b093eac5b83c7cce37615fc7532ad61657a7e05c4683fa8292619c197355f6fbb05e57f198056f67154a541a88df7b59542db5d8c0b78c3eed66d22529516691a26a597fedae8313583b8a86fb6b2bc0d8175f8facd6cd0e4aef85d0095871147166f7629ec32df1ba2158d065d21fb2a31e019979ca163791ff97e58fd6baeb78d8ac08f3c8ed09319129174b20be3fe2c55e50dc2b5c4bfcee861f2a548a5d6230961c6cd116aa033f424cbbf882c819a9668f82acd12e3f45c28c49af10014f4d3238a976d3b07b88f9b32d140f2507f53f335464de7258064012f99175eaf386b3ac250b0531e83cfb0a36f1fed89aa337b98eed2ee8634b07570d8cb60950d1941f3619cb65f0413598ceb059366cb607e7bb2b358083b5af0307d2336ab68e234335859a81172622f4dbfe04e44fbee7685d87b0afe132b2168cc8daf2509d9f43dbb03edb5bafd9a7bd8154b05960f505790c2763a009a6dd2467cc0ec56c399473be92d06e435110e87ba3d7bad9a353dfc5c200deeac412f4a47a52764ad87db2f5aeeb748e617161a9c137efdfa7886aeb01e623ebe9bb0fd179f6b6ac1730ae8f7d77bcb3cfe872bf8854a6b1cea6b3b480f7e6e3ec5fc5c752a8d93c8f10a637421f7f5fed33259fee32177af88af1e8f4b1e1416bbf9b3f0273ac09556ae572e6aac92124104c837869d069d4aaf2ed27c7af7e87dc1bf5502994ab150bc2af9ce24f4efb2c2ca601af4f94b9b16f5cd21258a5734765e11b32edbbb8e641aba22159e406a1b53143da665366ab516ee6038f5a7853ea9a055cf9fd06d251fe0147525bee50ed8386b6c54a0ff562101db5a033a6d1da398405443426bd31e5da6ec50fd10a080a8e13b6a5c870286e313541fa5cd476ef77643602cb4b693f3cd75b32f54cf27ffdde802c1399253f349c5246b38ad2c84b7392494f8536edfe6a11ae62e5163b92521e062bb4077b8dc8674293c940f3c3f9bbae246d79d1a63b193fb45221d589f5c6ead608dabc7ee40f07356d09d07b571ac373ec53eef226531a58a6d0496ef5490a6a319631bee3894f304e64d6e0da9a7676417c19873af6f1cb43d4aebd79d2fb2aa7b8a3dddc3801db44db4cb740ec71cd5338431d4d9b5258906b650623382cff0d4b202e0a27a9f6f0333b1c37511a0f8bb656a0ce307a64bf55d962aff021a685bf80e6648259b2fbed939cd7885959461f3d760e06e5cc6582337ff0cb5c2be1d877666e147412d8dc3b6534a1d9f8fdf5c2ef585b74ff28c28bc3c7132d6b28c329df1e1a0d498215cd625e6c2f6fef59641a804c3bfe711c277894d4975eb8915e51ae73c88b974ce23a57ce47aa9f72aa5bfc0bb8537c44e4db7ec36ef1f4333be28525ed52b8f69c37f0409f5888fe89a7e37260889716db186b26b24beec755c516023cc558785a401591603b08b103b97b975937b2fded2b879c691d39f60d116e1ee341911b274786a6521bd0ef0ac34d2c2534f0d4b98ecebbd4fcbf3c2d04fe8ef701f3cf6de8a22c8d97d48021cae73b4bba4383ab94fc9125b50278ee1e504cb85680a4b603074b153d79254c7325b38d85ef91ef42dc7c321ac892e14fdea37a2d32469d666dbc74327d8d7a98c0422b15c81a1005cb9818754a6cdbc66ea2d3d8e9c2c7d8bdc419ffcd26c461317a086e3705ac7427987c14d20f6719bab3e30dc8aaa03cbcd90b4490ae7bbd16ae44c28391db05e0fd86b7d30da3df9de6688cca5bbc5901719eaa6b2360134dc82b3a4528f47ac81c54387dc83315fa3a25fb6b6f524eaaa4764782ccc5cfd6b47511db941abc5e2bbd2432844edc10be2b1278b6b1d6d725b0d6971bac483aadd3356376952d1e718c5ae69d5dfec25451924ed1401099923fc150ae2e536808e79de24d3e5321ac86cf2e164f0554367b8a1f1a64863f731767311bf0191542080c2b2f622fd60265bce62cf328ab278ec2512c59202f4e3995531ba02edb210c1a88a75cc0ae9abb817d9dab84c06a491b48f3365766e597a43ba10da9f6e907932eb33577d8ef6aafaf5e0d38f38b2cf45bda92840c80f1b2340f7575209080588ffc2a90f80904ed1d0814d9d18ab12d9ecef71fa7cae674bd95ecfc3b12e5915f461f736c2eecc77d85027e2d5b01ad40128b52d6e78c3391e1d2b3622628970782e7f72341f33ee8d11e74339f401c6ebdce627aa5c65c96ecd03ad7d901a7576d8230aa3627a509d30e316e27f08c3c0fae856dbdca05597035316132ecf8a919e9ab475bee1e98b6634465a4ce40064c9d53f481e79f7021dfbaacad7e3e880c35fc129880516d3e3d6e38088399175276f8d6381e469be0e04044d05c8fa71aab17ec044af8d18010aebdc222ffd9e68ffeed3c229011af6892b01c248caef49832f89caa9a1d19cf5de6af3f9837d4b63cb2ee1ce3c4fbd122fc81010c964b1d6ef04eabba55155f44189abdb2af2d62985d7c4b727a97a0d51bfca08937bed4c2a7b03030e1995c5baf1eebcf54272edd37861eb9ee41f633f825c938a2ec96b2fb530c6e33090b8d775523f141a7cfa4c03e8683dd548e35127861d3be49c3bf6ff16d995a4abc7e214065dbf313b693a248e092d869e65bf9895235a5307a66a1c1f647cd9c963f7b872e48680b68945fbda360eb5e7649f8dac6a9d410f8eb7fb1b62a13cefde068c7cfe6e539e30d21d37f277d0976780c0b3df70fac7f956a529304d3f9dfa2a4a662ab60f73b44ba1bd59ba70fd388a79550154d1849b1ab73fb40a660b4ccd93b963397038ac7a60ff3dbb61d22d4afeebb6ac7a9f49c4f28aaf310c8147c5a8b536238ef6b4cbd39d3fed605442a7514b54669fbee1123090db910261c614f6517f97c7e063ba84f646bd1ef334334b6a20a82999ef7bca225ce07eb7ae9cf152a250345609343ed15307db87e2c2df7bdb3fd7c48c7bdd51b939be22e5fcfd3a5f092a5596b7a56761b3f4746e78116d1b522128722c29f006e17eae813b6fb2692583083515e3df58829904ff855942e35db5ff29bd652ec8c31f9c2b9d948f7256792836391460e4d06e409d5c97a6f1754676a8d43597878fa8b3ae4900c877a06be31ed432457fc75193b40e581af0bc54aa282a0983a6e520a44492d75d56651da6c4e3393037b72861b516a476a2e3fcd0fad6036615119e37b6add2387c2bd4e92cac46d6f46e3f108695ca71afa8ce40757410832a58ee6b401eca8149f3ce9fadb4d45991f6888c8dc7d63e1b6fe14b0fec852d97185914d29f2744ee9df48d641e5c995fab9e91e777ac021b06020b450d1efa76a45cd0c96c6ebba5cd16004a633f947962b3cc74a5c605cddbf6777f12bba9757b48ba2e5f66cd635dc40ffceb8e148fea01e2ed0497033d98eeee37ea45a9c3891252dc74d89856fed9af4c2ca6cd6c9e0c03ee5323b1ca57f2b51f354feef6887c3522b162f56622b0b0b1cd633ec50e2e0d7246de2323caa8114d3af397c25890b48a6c803ca07172deef23043f915ccc8444d23e41fb9874ac5ebffe9bd173a706684292a3e5f5822da2170df5dde6a905b87661b2a876f2cd3fd1d67724330c3a3ad1fbf527be1b828b16316a3d9a5626f5b974cbd61a776aba64f38964f701d45920813a788ca78dc570ecc2e9f8eb875ed18addf1683d3d5156a90cca6b2de556902ce325a9fcb6d619ab5ee7d7f99f781bb7c222458bf7127af31b267cc479e06af6469d95bb5a3204080267946e7ded7aca87758cf6b48ac60deaf50ea2b07edf563a5471eff82276bb98b19f10f514bcefb3d72dc2652b297d5f072ac0f2ffeb8d83bbf5ac896dc49db1a1ebfdeaae331f7d47884b23dcdbbe692043bcaf48e2f94849a6d3dd26311a4c1a5c5d903ae3ae639a55719b9b5452cd02b1f9f722d861b19874c1abe57320e36c40b3456300e984182b6c2b02d8af95858599584fb83fd852135a11a17217553e75c7c04992ebecb17c6843ad949ad84f5d3e9ab9cd17cb30f3c13c4ef4942a418c59b7eafe96231e745ca264c9d702d48830a13531090708c55169ea982d9e7b0a7bb47b2101db8bf3ea722be79cd5b7d64239be429c00b5f1e538abe7d48511ae085141b6a911b57ee0cb47b732a01ac0a9411c15bfb8246a1a5ad3e7606d96939f89272be17240567d35064170323d0d70da6183789580ed2d0dc96abef7c2baf63597c6f15b5eb16c2c9b286e132ce9a52c4a140183db0c97470e6aef4d532125aab4e0e637e137950ac86cdbded52daf3905137c05617305bdc74122be6b0fb4e74b468a2b088a2ae0e03461166ce876d6f7c5f550a7c9dc1ef8651674a3b3930c9f2ddc63a3fb25a74b950adaadcf2a512dc9cf8b5d245a5867b2d32b341be2826cf70f1264a59f1ffb4c8f669ee993c2f97da17b19a0a2ffb971bfb5e87e8ee81896e4b17dfb92913bc00f51d28845b68576162899a77675895d51da700dfd38f3320d721b2d5b9f9c9f70387d13836271c2f0219e5c0ca63c337a1b9805480812a7f2b02061a76cb17df32ad8c479f51a530e071c3d0c534eb1a0580a37ace8f519459ada0ce54087bd86e4748734d6a08e0c8ef01a0c9f30a42bd5a89b6693a6159b770fd1c1220e1c55e55cf6d2a4890b1a3aa8d030b78184048c7de622c4f5f5c6"}) r5 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r6 = syz_genetlink_get_family_id$netlbl_cipso(&(0x7f00000016c0), 0xffffffffffffffff) sendmsg$NLBL_CIPSOV4_C_LISTALL(r5, &(0x7f0000001940)={&(0x7f0000001680)={0x10, 0x0, 0x0, 0x8000000}, 0xc, &(0x7f0000001900)={&(0x7f0000001700)={0x200, r6, 0x10, 0x70bd28, 0x25dfdbfe, {}, [@NLBL_CIPSOV4_A_DOI={0x8, 0x1, 0x2}, @NLBL_CIPSOV4_A_MLSCATLST={0x17c, 0xc, 0x0, 0x1, [{0x3c, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x24a6ffa3}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x1b178456}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x5f21696a}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x94b1}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x17c91639}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x40d2}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x469d1518}]}, {0xc, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x73c751b9}]}, {0xc, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x65af}]}, {0x34, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x9f0a}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x5631c6d8}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xdbe2}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x91fa1fe}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x7b9cac79}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xc6d8}]}, {0x34, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x9905}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xdf3}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x7f9cb881}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xffc4}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x2525}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x7e02561c}]}, {0x14, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x8f39}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x3e825978}]}, {0x1c, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xd6cf}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x108b}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xc965}]}, {0x1c, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x61877b4a}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x21fe71b2}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x7910}]}, {0x24, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x613d}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xcb46}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x6c07c1b0}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x1c05ab3d}]}, {0x4c, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xf2b7}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x67351f29}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0xddb63f}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x67b13b22}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x75f4}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0x1ebff08c}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xfb09}, @NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x4a8e}, @NLBL_CIPSOV4_A_MLSCATLOC={0x8, 0x9, 0xe93a560}]}]}, @NLBL_CIPSOV4_A_MTYPE={0x8, 0x2, 0x3}, @NLBL_CIPSOV4_A_MLSCATLST={0x20, 0xc, 0x0, 0x1, [{0xc, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0xdf50}]}, {0x4}, {0xc, 0xb, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSCATREM={0x8, 0xa, 0x10ec}]}]}, @NLBL_CIPSOV4_A_MLSLVLLST={0x40, 0x8, 0x0, 0x1, [{0xc, 0x7, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSLVLREM={0x8, 0x6, 0x71}]}, {0x1c, 0x7, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSLVLLOC={0x8, 0x5, 0x15395398}, @NLBL_CIPSOV4_A_MLSLVLREM={0x8, 0x6, 0xbe}, @NLBL_CIPSOV4_A_MLSLVLREM={0x8, 0x6, 0x94}]}, {0x14, 0x7, 0x0, 0x1, [@NLBL_CIPSOV4_A_MLSLVLLOC={0x8, 0x5, 0x3f7ec03d}, @NLBL_CIPSOV4_A_MLSLVLLOC={0x8, 0x5, 0x4ac72ec6}]}]}]}, 0x200}, 0x1, 0x0, 0x0, 0x800}, 0x4000000) setsockopt$IP_VS_SO_SET_DELDEST(r2, 0x0, 0x488, &(0x7f0000001980)={{0x2c, @multicast1, 0x4e24, 0x1, 'rr\x00', 0x10, 0x6, 0x32}, {@dev={0xac, 0x14, 0x14, 0x24}, 0x4e22, 0x2, 0x2, 0x7f}}, 0x44) r7 = syz_mount_image$vfat(&(0x7f0000001a00), &(0x7f0000001a40)='./file0\x00', 0x48, 0x4, &(0x7f0000001d80)=[{&(0x7f0000001a80)="4cc84960f62a56847499307e8c89d7e2a2009565d41c9f9cb7a263485d992551ff9f33a09e2dc450f4dcffdab05ef8f1a8e5864574e55ab1190b3ddd427a80c0ad6b3f21", 0x44}, {&(0x7f0000001b00)="db9cd57a3fd71e3d7bd56d5f8680fd7e7515736853ddd900630bacef0c0163844b12e3cfcf331460c21a342bd685419bbdac2f6b72da07ef588f654483b3c3035ce3f00c13d99542b0283ee7be01956bd331191bd0d298887e835b0615892c80e972b7626d698de1e8257f6c65aa33e917d82091a08dff59700df58617ab62e5b332397042d71b3c3a32d66d1500dced5458b271b3d7068d9364f56eaeec1c6449c1255336fa45bae01b806941046756a6924d4f394cecae7b857be660aa6464350d0ec5c3b806687a6d4cdd55f2767c5de778027db8efb7ee6afbb29a5004123b4e33", 0xe3, 0x81}, {&(0x7f0000001c00)="ea3f9d78218614967db04bda6c159ebad3a4bd28f5f97da84801908324d5584af5a77c5d3715d8084fc3810e480e1e86d654e117aaf7a996406356018dba0ed1ac4dc302f8f64c3e0ed447c818d88e0204cfe85982029567819cf56d36a23b15e37ae7caba9d162e0c08e431b3ecc5bac1e498a0c77eaf279d73972293b5dc19b247effaff41910ae7f0461b8edd2c8cc21ad6cbb9376402e85d33888dfb9c76ad36ea1cb0038edf5d0003a0", 0xac, 0x300}, {&(0x7f0000001cc0)="64aac9f6096b0a91d06b51d711e4a4a5531593202be411e776a2db346e010e628a1dbcecb0b6fd3f0b798ee0ab04fcdaba4d61e250ba3f860554839b76141d6fb97c82dc14434fa50905b7e0061eba3a2357e9efa8e0d81313cdc01cdbb9ab62d3885c4d0445707323ddb45d8cc2ebec833ec970916286ad7b59cde3252609e70ff77b09e428562be8aad5283a9d3fb0cf", 0x91, 0x10000}], 0x18442, &(0x7f0000001e00)={[{@numtail}, {@shortname_lower}, {@numtail}, {@nonumtail}, {@iocharset={'iocharset', 0x3d, 'macinuit'}}], [{@obj_type={'obj_type', 0x3d, 'wpan4\x00'}}, {@smackfshat={'smackfshat', 0x3d, 'wpan4\x00'}}, {@subj_role={'subj_role', 0x3d, 'rr\x00'}}, {@euid_eq={'euid', 0x3d, r4}}]}) ioctl$AUTOFS_DEV_IOCTL_CATATONIC(r3, 0xc0189379, &(0x7f0000001ec0)={{0x1, 0x1, 0x18, r7}, './file0\x00'}) r9 = pidfd_getfd(r8, r8, 0x0) ioctl$sock_inet_SIOCSARP(r9, 0x8955, &(0x7f0000001f00)={{0x2, 0x4e22, @loopback}, {0x306, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x1e}}, 0xe, {0x2, 0x4e21, @dev={0xac, 0x14, 0x14, 0x2f}}, 'veth1_macvtap\x00'}) ioctl$AUTOFS_DEV_IOCTL_READY(r8, 0xc0189376, &(0x7f0000001f80)={{0x1, 0x1, 0x18, r2, {0xfff}}, './file0\x00'}) r11 = syz_genetlink_get_family_id$devlink(&(0x7f0000002000), r9) sendmsg$DEVLINK_CMD_PORT_UNSPLIT(r10, &(0x7f0000002140)={&(0x7f0000001fc0)={0x10, 0x0, 0x0, 0x1}, 0xc, &(0x7f0000002100)={&(0x7f0000002040)={0xb0, r11, 0x300, 0x70bd2a, 0x25dfdbfc, {}, [{{@pci={{0x8}, {0x11}}, {0x8}}}, {{@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0x8, 0x3, 0x2}}}, {{@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0x8, 0x3, 0x3}}}, {{@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0x8, 0x3, 0x26}}}]}, 0xb0}, 0x1, 0x0, 0x0, 0x4008000}, 0x20000810) 18:06:59 executing program 7: ioctl$sock_ipv4_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, &(0x7f00000000c0)={'ip_vti0\x00', &(0x7f0000000040)={'tunl0\x00', 0x0, 0x1, 0x8, 0xfff, 0x9, {{0x11, 0x4, 0x2, 0x1, 0x44, 0x65, 0x0, 0x2, 0x4, 0x0, @initdev={0xac, 0x1e, 0x0, 0x0}, @loopback, {[@rr={0x7, 0x1f, 0x6e, [@multicast1, @loopback, @empty, @loopback, @initdev={0xac, 0x1e, 0x0, 0x0}, @initdev={0xac, 0x1e, 0x0, 0x0}, @multicast2]}, @ra={0x94, 0x4, 0x1}, @lsrr={0x83, 0xb, 0xf9, [@remote, @private=0xa010101]}]}}}}}) sendmsg$TEAM_CMD_OPTIONS_SET(0xffffffffffffffff, &(0x7f0000000300)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x800}, 0xc, &(0x7f00000002c0)={&(0x7f0000000100)={0x188, 0x0, 0x20, 0x70bd2d, 0x25dfdbfc, {}, [{{0x8, 0x1, r0}, {0x16c, 0x2, 0x0, 0x1, [{0x3c, 0x1, @user_linkup={{{0x24}, {0x5}, {0x4}}, {0x8}}}, {0x40, 0x1, @lb_port_stats={{{0x24}, {0x5}, {0x8, 0x4, 0x2}}, {0x8}}}, {0x40, 0x1, @lb_hash_stats={{{0x24}, {0x5}, {0x8, 0x4, 0xfff}}, {0x8}}}, {0x3c, 0x1, @user_linkup_enabled={{{0x24}, {0x5}, {0x4}}, {0x8}}}, {0x38, 0x1, @notify_peers_count={{0x24}, {0x5}, {0x8, 0x4, 0x400}}}, {0x38, 0x1, @activeport={{0x24}, {0x5}, {0x8}}}]}}]}, 0x188}, 0x1, 0x0, 0x0, 0x4000004}, 0x4000) ioctl$sock_ipv4_tunnel_SIOCADDTUNNEL(0xffffffffffffffff, 0x89f1, &(0x7f0000000440)={'syztnl1\x00', &(0x7f0000000340)={'erspan0\x00', r0, 0x40, 0x40, 0x8, 0xffffffff, {{0x2e, 0x4, 0x0, 0x6, 0xb8, 0x65, 0x0, 0x0, 0x29, 0x0, @private=0xa010100, @empty, {[@timestamp_addr={0x44, 0x4c, 0x19, 0x1, 0x2, [{@loopback, 0x9}, {@loopback, 0x1}, {@multicast2}, {@dev={0xac, 0x14, 0x14, 0x24}, 0x5}, {@broadcast, 0x400}, {@local, 0x2}, {@multicast2, 0xfffff801}, {@rand_addr=0x64010102, 0x4}, {@broadcast, 0x2}]}, @cipso={0x86, 0x4e, 0x0, [{0x6, 0xd, "475bc13835c45df4eb9865"}, {0x6, 0xf, "3102b5199ef25ac10d3db6280a"}, {0x6, 0xe, "564dce134059a83ba4338c5c"}, {0x0, 0xc, "4ac6444e5842da88def0"}, {0x0, 0x7, "6c908a269e"}, {0x5, 0x6, "81f4bb1d"}, {0x2, 0x5, '.,0'}]}, @lsrr={0x83, 0x7, 0x7e, [@initdev={0xac, 0x1e, 0x1, 0x0}]}, @end]}}}}}) ioctl$sock_ipv4_tunnel_SIOCGETTUNNEL(0xffffffffffffffff, 0x89f0, &(0x7f00000004c0)={'gretap0\x00', &(0x7f0000000480)={'erspan0\x00', r0, 0x4ec97a8311aa196d, 0x7800, 0x2, 0x7, {{0x8, 0x4, 0x3, 0x9, 0x20, 0x65, 0x0, 0x7, 0x29, 0x0, @private=0xa010100, @local, {[@noop, @rr={0x7, 0xb, 0x45, [@rand_addr=0x64010100, @loopback]}]}}}}}) recvmmsg(0xffffffffffffffff, &(0x7f0000000f40)=[{{&(0x7f0000000500)=@tipc=@name, 0x80, &(0x7f0000000840)=[{&(0x7f0000000580)=""/154, 0x9a}, {&(0x7f0000000640)=""/4, 0x4}, {&(0x7f0000000680)=""/154, 0x9a}, {&(0x7f0000000740)=""/252, 0xfc}], 0x4}, 0x6}, {{&(0x7f0000000880)=@pppol2tpin6={0x18, 0x1, {0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0, {0xa, 0x0, 0x0, @private2}}}, 0x80, &(0x7f0000000ac0)=[{&(0x7f0000000900)=""/145, 0x91}, {&(0x7f00000009c0)=""/254, 0xfe}], 0x2, &(0x7f0000000b00)=""/119, 0x77}, 0x8001}, {{&(0x7f0000000b80)=@pppol2tpv3={0x18, 0x1, {0x0, 0xffffffffffffffff, {0x2, 0x0, @local}}}, 0x80, &(0x7f0000000c80)=[{&(0x7f0000000c00)=""/124, 0x7c}], 0x1, &(0x7f0000000cc0)=""/139, 0x8b}, 0x9}, {{0x0, 0x0, &(0x7f0000000e80)=[{&(0x7f0000000d80)=""/89, 0x59}, {&(0x7f0000000e00)=""/18, 0x12}, {&(0x7f0000000e40)=""/10, 0xa}], 0x3, &(0x7f0000000ec0)=""/77, 0x4d}, 0xfff}], 0x4, 0x1, &(0x7f0000001040)={0x77359400}) ioctl$sock_ipv4_tunnel_SIOCADDTUNNEL(r3, 0x89f1, &(0x7f0000001140)={'syztnl2\x00', &(0x7f0000001080)={'syztnl0\x00', r0, 0x700, 0x1, 0x648, 0x8000, {{0x1a, 0x4, 0x1, 0x3, 0x68, 0x66, 0x0, 0x4, 0x4, 0x0, @local, @private=0xa010102, {[@rr={0x7, 0x23, 0xa7, [@multicast2, @loopback, @private=0xa010100, @remote, @loopback, @broadcast, @empty, @empty]}, @noop, @cipso={0x86, 0x15, 0xffffffffffffffff, [{0x5, 0xf, "15fe8d3ff3fa07fa73a2c66928"}]}, @cipso={0x86, 0x18, 0x0, [{0x1, 0x12, "4d2bc885f057b54e3f766711212ef521"}]}]}}}}}) ioctl$SIOCGSTAMPNS(0xffffffffffffffff, 0x8907, &(0x7f0000001180)) r4 = syz_io_uring_complete(0x0) ioctl$sock_ipv4_tunnel_SIOCADDTUNNEL(r4, 0x89f1, &(0x7f0000001240)={'syztnl0\x00', &(0x7f00000011c0)={'tunl0\x00', r1, 0x8, 0x700, 0x0, 0x80000001, {{0x10, 0x4, 0x2, 0x5, 0x40, 0x64, 0x0, 0x55, 0x2f, 0x0, @loopback, @multicast1, {[@generic={0x44, 0x6, "dbba546b"}, @cipso={0x86, 0x25, 0x1, [{0x7, 0xb, "3b9f8cc8003f688a36"}, {0x1, 0x5, 'wT\x00'}, {0x2, 0xb, "a66a05b327a216d130"}, {0x7, 0x4, 'WL'}]}]}}}}}) ioctl$ifreq_SIOCGIFINDEX_wireguard(r4, 0x8933, &(0x7f0000001340)={'wg0\x00', 0x0}) ioctl$sock_ipv4_tunnel_SIOCGETTUNNEL(r4, 0x89f0, &(0x7f0000001400)={'gretap0\x00', &(0x7f0000001380)={'erspan0\x00', r5, 0x8, 0x700, 0x3, 0x7fffffff, {{0x12, 0x4, 0x0, 0x7, 0x48, 0x68, 0x0, 0xd0, 0x29, 0x0, @local, @loopback, {[@ra={0x94, 0x4}, @ra={0x94, 0x4}, @cipso={0x86, 0x1b, 0xffffffffffffffff, [{0x2, 0xb, "c6b3fe41fa0fe672a5"}, {0x2, 0xa, "40f7dba8e8e2e597"}]}, @lsrr={0x83, 0xf, 0x54, [@multicast1, @initdev={0xac, 0x1e, 0x1, 0x0}, @dev={0xac, 0x14, 0x14, 0x44}]}]}}}}}) write$binfmt_aout(r2, &(0x7f0000001440)={{0x107, 0x5, 0x76, 0x313, 0x1c4, 0xed2, 0x334, 0x2}, "704e943041c97ee47231c9469456281b11222398f29ab335924da23efe4b7300dafea45f3a628f136c06d76253d4e480a6cb8ace271e3d9bcf036d76079c0ed8fadaca4f2d6bb5378ccb6a87e3327a4030d19f8efdb6939e0297ea4855c7e0d33142dd6649f4b769f2a9192f1980d67c408311bf760ee7c3475084d6dfd52d68820e997f3643705611", ['\x00', '\x00', '\x00', '\x00', '\x00', '\x00']}, 0x6a9) setsockopt$inet6_tcp_TCP_REPAIR_OPTIONS(r4, 0x6, 0x16, &(0x7f0000001b00)=[@mss={0x2, 0x1}, @window={0x3, 0x8, 0x3}, @sack_perm, @timestamp, @timestamp], 0x5) r6 = openat$vcsu(0xffffffffffffff9c, &(0x7f0000001b40), 0x4000, 0x0) faccessat2(r6, &(0x7f0000001b80)='./file0\x00', 0x30, 0x1300) getpeername$packet(r6, &(0x7f0000001bc0)={0x11, 0x0, 0x0, 0x1, 0x0, 0x6, @link_local}, &(0x7f0000001c00)=0x14) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r2, 0x89f0, &(0x7f0000001cc0)={'ip6_vti0\x00', &(0x7f0000001c40)={'syztnl1\x00', r7, 0x4, 0x40, 0x0, 0x400, 0x20, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', @loopback, 0x700, 0x8000, 0x531, 0x10001}}) ioctl$sock_ipv6_tunnel_SIOCGETTUNNEL(r2, 0x89f0, &(0x7f0000001d80)={'ip6tnl0\x00', &(0x7f0000001d00)={'ip6tnl0\x00', r1, 0x2f, 0x5, 0xfa, 0x0, 0x2, @remote, @dev={0xfe, 0x80, '\x00', 0x2f}, 0x700, 0x80, 0xd97d, 0x5}}) r8 = syz_open_dev$sg(&(0x7f0000001dc0), 0x0, 0x2000) tee(r3, r8, 0x100, 0x5) 18:06:59 executing program 6: arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x1) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x10001) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x6c) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x1000000000000000) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x7) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x100000000) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x80000001) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x7fffffff) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x9) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x4) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x5) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x81) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0xb6100000) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x81) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x231b) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x5) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x8) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x2478) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x6) arch_prctl$ARCH_MAP_VDSO_64(0x2003, 0x0) 18:06:59 executing program 2: r0 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) sendmsg$NL80211_CMD_REQ_SET_REG(0xffffffffffffffff, &(0x7f0000000340)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000300)={&(0x7f0000000080)={0x274, r0, 0x400, 0x70bd2d, 0x25dfdbfc, {}, [@NL80211_ATTR_REG_RULES={0xd4, 0x22, 0x0, 0x1, [{0x3c, 0x0, 0x0, 0x1, [@NL80211_ATTR_FREQ_RANGE_START={0x8}, @NL80211_ATTR_FREQ_RANGE_START={0x8, 0x2, 0x4}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x1}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x200}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x7}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x8}, @NL80211_ATTR_FREQ_RANGE_END={0x8, 0x3, 0x9e0}]}, {0x24, 0x0, 0x0, 0x1, [@NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x2}, @NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0xfffffffa}, @NL80211_ATTR_FREQ_RANGE_END={0x8, 0x3, 0x3f}, @NL80211_ATTR_FREQ_RANGE_END={0x8, 0x3, 0x2}]}, {0x1c, 0x0, 0x0, 0x1, [@NL80211_ATTR_FREQ_RANGE_END={0x8, 0x3, 0x1f800000}, @NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0xe10}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x2f5b}]}, {0x54, 0x0, 0x0, 0x1, [@NL80211_ATTR_DFS_CAC_TIME={0x8, 0x7, 0x310c00}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x9}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x1}, @NL80211_ATTR_FREQ_RANGE_MAX_BW={0x8, 0x4, 0x4}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0xbd5}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x6}, @NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0x7}, @NL80211_ATTR_FREQ_RANGE_END={0x8}, @NL80211_ATTR_FREQ_RANGE_MAX_BW={0x8, 0x4, 0xede}, @NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0x4}]}]}, @NL80211_ATTR_USER_REG_HINT_TYPE={0x8, 0x9a, 0x1}, @NL80211_ATTR_WIPHY={0x8, 0x1, 0x15}, @NL80211_ATTR_REG_ALPHA2={0x6, 0x21, 'b\x00'}, @NL80211_ATTR_REG_RULES={0x8c, 0x22, 0x0, 0x1, [{0x4c, 0x0, 0x0, 0x1, [@NL80211_ATTR_FREQ_RANGE_END={0x8}, @NL80211_ATTR_FREQ_RANGE_MAX_BW={0x8, 0x4, 0x1f}, @NL80211_ATTR_FREQ_RANGE_END={0x8, 0x3, 0x10000}, @NL80211_ATTR_FREQ_RANGE_MAX_BW={0x8, 0x4, 0x8}, @NL80211_ATTR_FREQ_RANGE_START={0x8, 0x2, 0x80000000}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x20}, @NL80211_ATTR_FREQ_RANGE_END={0x8, 0x3, 0x8}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x80000001}, @NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0xff}]}, {0x3c, 0x0, 0x0, 0x1, [@NL80211_ATTR_FREQ_RANGE_END={0x8, 0x3, 0x6}, @NL80211_ATTR_DFS_CAC_TIME={0x8, 0x7, 0x7}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x7}, @NL80211_ATTR_FREQ_RANGE_START={0x8, 0x2, 0x7f5b9e39}, @NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0x1}, @NL80211_ATTR_DFS_CAC_TIME={0x8, 0x7, 0x1}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x81}]}]}, @NL80211_ATTR_SOCKET_OWNER={0x4}, @NL80211_ATTR_REG_ALPHA2={0x6, 0x21, 'a\x00'}, @NL80211_ATTR_WIPHY={0x8, 0x1, 0x42}, @NL80211_ATTR_REG_RULES={0xd4, 0x22, 0x0, 0x1, [{0xc, 0x0, 0x0, 0x1, [@NL80211_ATTR_FREQ_RANGE_MAX_BW={0x8, 0x4, 0x2}]}, {0x24, 0x0, 0x0, 0x1, [@NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0x5}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0xe0}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x3}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x5}]}, {0x14, 0x0, 0x0, 0x1, [@NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x3faa}, @NL80211_ATTR_FREQ_RANGE_START={0x8, 0x2, 0xffff}]}, {0x1c, 0x0, 0x0, 0x1, [@NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x2}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x20}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x40}]}, {0x3c, 0x0, 0x0, 0x1, [@NL80211_ATTR_FREQ_RANGE_MAX_BW={0x8, 0x4, 0x4}, @NL80211_ATTR_FREQ_RANGE_MAX_BW={0x8, 0x4, 0x8001}, @NL80211_ATTR_REG_RULE_FLAGS={0x8}, @NL80211_ATTR_FREQ_RANGE_START={0x8, 0x2, 0x3f}, @NL80211_ATTR_FREQ_RANGE_START={0x8, 0x2, 0x1}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0x1}, @NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0x3}]}, {0x34, 0x0, 0x0, 0x1, [@NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0xffff}, @NL80211_ATTR_FREQ_RANGE_MAX_BW={0x8, 0x4, 0x1}, @NL80211_ATTR_POWER_RULE_MAX_EIRP={0x8, 0x6, 0x7}, @NL80211_ATTR_POWER_RULE_MAX_ANT_GAIN={0x8, 0x5, 0xffff366d}, @NL80211_ATTR_FREQ_RANGE_END={0x8, 0x3, 0x5}, @NL80211_ATTR_REG_RULE_FLAGS={0x8, 0x1, 0x6}]}]}]}, 0x274}, 0x1, 0x0, 0x0, 0x10}, 0x8040) r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000380), 0xffffffffffffffff) r2 = syz_genetlink_get_family_id$tipc(&(0x7f0000000400), 0xffffffffffffffff) sendmsg$TIPC_CMD_SET_NODE_ADDR(0xffffffffffffffff, &(0x7f00000004c0)={&(0x7f00000003c0)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000480)={&(0x7f0000000440)={0x24, r2, 0x200, 0x70bd25, 0x25dfdbfb, {{}, {}, {0x8, 0x11, 0x7}}, ["", ""]}, 0x24}, 0x1, 0x0, 0x0, 0x1}, 0x20008091) r3 = accept(0xffffffffffffffff, &(0x7f0000000500)=@in={0x2, 0x0, @loopback}, &(0x7f0000000580)=0x80) sendmsg$FOU_CMD_ADD(r3, &(0x7f0000000680)={&(0x7f00000005c0)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000000640)={&(0x7f0000000600)={0x1c, 0x0, 0x4, 0x70bd28, 0x25dfdbfc, {}, [@FOU_ATTR_IPPROTO={0x5, 0x3, 0x32}]}, 0x1c}, 0x1, 0x0, 0x0, 0x1}, 0x81) syz_genetlink_get_family_id$nl80211(&(0x7f00000006c0), r3) sendmsg$NL80211_CMD_FRAME(r3, &(0x7f00000007c0)={&(0x7f0000000700)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000780)={&(0x7f0000000740)={0x30, r1, 0x1, 0x70bd27, 0x25dfdbfe, {{}, {@void, @val={0xc, 0x99, {0x8, 0x38}}}}, [@NL80211_ATTR_DONT_WAIT_FOR_ACK={0x4}, @NL80211_ATTR_CSA_C_OFFSETS_TX={0x6, 0xcd, [0x8000]}, @NL80211_ATTR_TX_NO_CCK_RATE={0x4}]}, 0x30}, 0x1, 0x0, 0x0, 0x4000}, 0x41) r4 = open_tree(0xffffffffffffffff, &(0x7f0000000800)='./file0\x00', 0x1) sendmsg$IPVS_CMD_SET_DEST(r4, &(0x7f0000000940)={&(0x7f0000000840)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000900)={&(0x7f0000000880)={0x4c, 0x0, 0x104, 0x70bd28, 0x25dfdbfb, {}, [@IPVS_CMD_ATTR_TIMEOUT_TCP={0x8, 0x4, 0x5}, @IPVS_CMD_ATTR_DEST={0x30, 0x2, 0x0, 0x1, [@IPVS_DEST_ATTR_ACTIVE_CONNS={0x8, 0x7, 0x40}, @IPVS_DEST_ATTR_TUN_FLAGS={0x6}, @IPVS_DEST_ATTR_ADDR={0x14, 0x1, @ipv4=@multicast2}, @IPVS_DEST_ATTR_PERSIST_CONNS={0x8, 0x9, 0x80}]}]}, 0x4c}, 0x1, 0x0, 0x0, 0x811}, 0x40) getpeername(r4, &(0x7f0000000980)=@vsock, &(0x7f0000000a00)=0x80) clock_gettime(0x0, &(0x7f0000000a40)={0x0, 0x0}) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000ac0)=@IORING_OP_LINK_TIMEOUT={0xf, 0x2, 0x0, 0x0, 0x0, &(0x7f0000000a80)={r5, r6+60000000}, 0x1, 0x0, 0x1}, 0x0) r7 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$AUTOFS_DEV_IOCTL_VERSION(r4, 0xc0189371, &(0x7f0000000b00)={{0x1, 0x1, 0x18, r7}, './file0\x00'}) clock_gettime(0x7, &(0x7f0000000b40)) sendmsg$NL80211_CMD_SET_TX_BITRATE_MASK(0xffffffffffffffff, &(0x7f0000001c80)={&(0x7f0000000b80)={0x10, 0x0, 0x0, 0x1000}, 0xc, &(0x7f0000001c40)={&(0x7f0000000bc0)={0x1070, r0, 0x300, 0x70bd2c, 0x25dfdbfd, {{}, {@void, @void}}, [@NL80211_ATTR_TX_RATES={0x194, 0x5a, 0x0, 0x1, [@NL80211_BAND_6GHZ={0xac, 0x3, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x17, 0x1, [0x60, 0x4, 0x12, 0x9, 0x24, 0x6, 0xb, 0x6c, 0x9, 0x2, 0x30, 0xc, 0x30, 0x9, 0x5, 0x40acff0cab2bdd3f, 0x18, 0x2, 0x4]}, @NL80211_TXRATE_HT={0xb, 0x2, [{0x0, 0x1}, {0x2, 0x3}, {0x7}, {0x0, 0x9}, {0x7, 0x8}, {0x6, 0xa}, {0x2, 0xa}]}, @NL80211_TXRATE_LEGACY={0x16, 0x1, [0x4, 0x5, 0x6c, 0xb, 0x24, 0x9, 0x0, 0x3c6e32bd6875cb3a, 0x12, 0x5, 0x3, 0x12, 0x0, 0x9, 0x2, 0x9, 0x4, 0xb]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x14, 0x2, 0x1, 0x8, 0x800, 0x4, 0xfa58, 0x90e]}}, @NL80211_TXRATE_LEGACY={0x1e, 0x1, [0x30, 0xc, 0x30, 0x1, 0x1, 0x9, 0x1e, 0x30, 0x2, 0x30, 0x1b, 0x18, 0x2, 0x1b, 0x18, 0x1, 0x16, 0x1b, 0x48, 0x24, 0x6, 0xf71b5bff8b9999c, 0x24, 0x24, 0xc, 0x9]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x7fff, 0x9, 0x1, 0x5, 0x6cd1, 0x66, 0x8000]}}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x2, 0x46f2, 0x9, 0x2, 0x2, 0x1, 0x34]}}]}, @NL80211_BAND_6GHZ={0xe4, 0x3, 0x0, 0x1, [@NL80211_TXRATE_HT={0x2b, 0x2, [{0x2, 0x7}, {0x4, 0x5}, {0x5, 0x5}, {0x2, 0x5}, {0x2, 0x7}, {0x6, 0x2}, {0x3, 0x6}, {0x0, 0x7}, {0x6, 0x4}, {0x5, 0x6}, {0x0, 0x7}, {0x0, 0x9}, {0x2, 0x9}, {0x1, 0x8}, {0x0, 0x8}, {0x6, 0x5}, {0x5, 0x4}, {0x4, 0x8}, {0x5, 0x9}, {0x0, 0x8}, {0x7, 0x4}, {0x0, 0x3}, {0x3, 0x7}, {0x5, 0x1}, {0x3, 0x6}, {0x7, 0x5}, {0x5, 0x1}, {0x4, 0x3}, {0x7, 0x7}, {0x3, 0x3}, {0x0, 0xa}, {0x6, 0x9}, {0x0, 0x6}, {0x4, 0xa}, {0x0, 0x7}, {0x7, 0x1}, {0x6, 0x6}, {0x0, 0x3}, {0x7, 0x9}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x88, 0x0, 0xfff, 0x7fff, 0x2, 0x0, 0x3, 0x6]}}, @NL80211_TXRATE_HT={0x33, 0x2, [{0x6}, {0x1, 0x3}, {0x1, 0x9}, {0x1, 0x1}, {0x2, 0x6}, {0x4, 0x9}, {0x5, 0x6}, {0x6, 0x7}, {0x1, 0x8}, {0x0, 0x3}, {0x0, 0x7}, {0x7, 0x6}, {0x1, 0x6}, {0x1, 0x9}, {0x3}, {0x0, 0x1}, {0x3, 0x8}, {0x6}, {0x4, 0x7}, {0x7, 0x2}, {0x6, 0x7}, {0x4, 0x2}, {0x1}, {0x2, 0x5}, {0x3, 0xa}, {0x6, 0x3}, {0x6, 0x8}, {0x5, 0x5}, {0x5, 0x4}, {0x0, 0x2}, {0x1, 0x2}, {0x0, 0x3}, {0x6, 0xa}, {0x3, 0x5}, {0x1, 0x8}, {0x5, 0x9}, {0x1, 0x6}, {0x6, 0x1}, {0x1, 0x7}, {0x0, 0x2}, {0x4, 0x3}, {0x1, 0x2}, {0x0, 0xa}, {0x3, 0x5}, {0x3, 0x2}, {0x6, 0x1}, {0x4, 0x6}]}, @NL80211_TXRATE_LEGACY={0x10, 0x1, [0x6, 0x12, 0x0, 0x0, 0x16, 0x16, 0x36, 0x1, 0x6c, 0x2, 0xc, 0x48]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x4a, 0x2, [{0x0, 0x8}, {0x6, 0x4}, {0x3}, {0x3, 0x7}, {0x2, 0x1}, {0x1, 0x2}, {0x6, 0x8}, {0x6, 0x6}, {0x0, 0x6}, {0x6, 0x1d}, {0x0, 0x1}, {0x2, 0x5}, {0x2, 0x8}, {0x4, 0x7}, {0x5, 0x5}, {0x7, 0x2}, {0x0, 0x8}, {0x0, 0xa}, {0x0, 0xa}, {0x1, 0x3}, {0x2, 0x1}, {0x3}, {0x3, 0x2}, {0x0, 0x8}, {0x1, 0x3}, {0x0, 0x7}, {0x5, 0x4}, {0x4, 0x8}, {0x5, 0x6}, {0x6, 0x6}, {0x1, 0x1}, {0x1}, {0x6, 0xa}, {0x1, 0x7}, {0x0, 0x1}, {0x7}, {0x2, 0x1}, {0x1, 0x4}, {0x4, 0x6}, {0x0, 0x9}, {0x1, 0x8}, {0x1, 0x6}, {0x4, 0x2}, {0x1, 0x7}, {0x6, 0x1}, {0x0, 0x9}, {0x2, 0x7}, {0x1, 0x3}, {0x5, 0x3}, {0x3, 0x3}, {0x4, 0x5}, {0x0, 0x2}, {0x2, 0x1}, {0x7, 0x3}, {0x0, 0x8}, {0x7, 0x2}, {0x6, 0x1}, {0x3, 0x4}, {0x7, 0x3}, {0x6, 0x3}, {0x1, 0x9}, {0x5, 0x9}, {0x2, 0x9}, {0x3, 0x7}, {0x1, 0x2}, {0x0, 0x8}, {0x7}, {0x3, 0x5}, {0x7, 0x6}, {0x4, 0x7}]}]}]}, @NL80211_ATTR_TX_RATES={0x380, 0x5a, 0x0, 0x1, [@NL80211_BAND_5GHZ={0xbc, 0x1, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x34, 0x2, [{0x1, 0x6}, {0x2, 0x2}, {0x2, 0x5}, {0x7, 0x6}, {0x0, 0x7}, {0x6}, {0x6, 0x8}, {0x1, 0x9}, {0x7}, {0x5, 0x9}, {0x6, 0xa}, {0x7, 0x2}, {0x1, 0x3}, {0x0, 0x9}, {0x7, 0xa}, {0x6, 0x9}, {0x1, 0xa}, {0x1, 0x6}, {0x1, 0x4}, {0x0, 0x5}, {0x1, 0x9}, {0x3, 0x4}, {0x0, 0xa}, {0x1}, {0x7, 0x6}, {0x4, 0x2}, {0x1, 0xa}, {0x0, 0x4}, {0x2, 0x7}, {0x0, 0x7}, {0x6, 0x1}, {0x0, 0x6}, {0x3, 0x1}, {0x1, 0x6}, {0x3}, {0x0, 0x1}, {0x6}, {0x0, 0x5}, {0x1}, {0x7, 0xa}, {0x5, 0x7}, {0x3, 0x1}, {0x0, 0x2}, {0x6, 0xa}, {0x7, 0x9}, {0x7, 0x6}, {0x6, 0x2}, {0x5, 0x2}]}, @NL80211_TXRATE_LEGACY={0xc, 0x1, [0x1b, 0x6c, 0x6, 0x1b, 0xc, 0x6c, 0x5, 0x4]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x2, 0x8c0e, 0x5, 0x1, 0x3ff, 0x9f, 0x200, 0x5]}}, @NL80211_TXRATE_LEGACY={0x5, 0x1, [0x36]}, @NL80211_TXRATE_LEGACY={0x8, 0x1, [0x1b, 0x18, 0x9, 0x2]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7ff, 0x7881, 0x1, 0x7, 0x7ff, 0x0, 0x7, 0x6]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x9, 0x350, 0x4, 0x81, 0xffff, 0x7f, 0x401]}}, @NL80211_TXRATE_LEGACY={0x23, 0x1, [0x60, 0x12, 0x1b, 0x6c, 0x6, 0x5, 0x16, 0x36, 0x9, 0x1, 0x1, 0x4, 0x5, 0x2, 0x1b, 0xb, 0x1, 0x18, 0xb, 0x1b, 0x1e, 0xc2b2e92c149b34bb, 0x1b, 0x9, 0x6c, 0x1b, 0x24, 0x36, 0x30, 0xc, 0x6]}]}, @NL80211_BAND_5GHZ={0x44, 0x1, 0x0, 0x1, [@NL80211_TXRATE_HT={0x6, 0x2, [{0x3, 0x2}, {0x4, 0x4}]}, @NL80211_TXRATE_HT={0x35, 0x2, [{0x0, 0x5}, {0x2, 0x7}, {0x0, 0x4}, {0x2, 0x9}, {0x0, 0x9}, {0x1, 0xa}, {0x4, 0x6}, {0x0, 0xa}, {0x7, 0x4}, {0x5, 0xa}, {0x5, 0x5}, {0x6, 0x5}, {0x5, 0x9}, {0x6, 0x2}, {0x4, 0x1}, {0x0, 0xa}, {0x6, 0x8}, {0x4, 0x9}, {0x0, 0x5}, {0x2, 0x4}, {0x3, 0x1}, {0x1, 0x7}, {0x1, 0x6}, {0x7, 0xa}, {0x7, 0x9}, {0x2, 0x6}, {0x2, 0x9}, {0x2, 0x5}, {0x7}, {0x2}, {0x5, 0x4}, {0x7, 0x9}, {0x1, 0x3}, {0x6, 0x5}, {0x0, 0x8}, {0x3, 0xa}, {0x6}, {0x7, 0x8}, {0x1, 0x3}, {0x4, 0x1}, {0x3, 0x9}, {0x7, 0x5}, {0x6, 0x8}, {0x3, 0x8}, {0x2, 0x5}, {0x6, 0x3}, {0x4, 0x6}, {0x5, 0x6}, {0x2, 0x7}]}]}, @NL80211_BAND_6GHZ={0x130, 0x3, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x21, 0x2, [{0x5, 0x9}, {0x2, 0x6}, {0x7, 0x7}, {0x2, 0x4}, {0x5, 0x6}, {0x4, 0x3}, {0x5, 0x8}, {0x5, 0xa}, {0x2, 0x6}, {0x2, 0x7}, {0x6, 0x3}, {0x4, 0x9}, {0x7, 0xa}, {0x2, 0x5}, {0x0, 0x1}, {0x1, 0x1}, {0x6, 0x3}, {0x0, 0x5}, {0x4, 0x1}, {0x5, 0x1}, {0x2, 0x6}, {0x1, 0x8}, {0x5, 0x7}, {0x7, 0x8}, {0x5, 0x3}, {0x2, 0x1}, {0x3, 0x8}, {0x7, 0x5}, {0x5, 0x5}]}, @NL80211_TXRATE_HT={0x1f, 0x2, [{0x2, 0x2}, {0x7}, {0x5, 0xa}, {0x2, 0x2}, {0x3, 0x5}, {0x6, 0x7}, {0x7, 0x1}, {0x7, 0x7}, {0x0, 0x2}, {0x3}, {0x0, 0xa}, {0x1, 0x3}, {0x1, 0x4}, {0x2, 0x3}, {0x2, 0x4}, {0x6, 0x5}, {0x0, 0x7}, {0x1, 0xa}, {0x7, 0xa}, {0x4, 0x6}, {0x1, 0xa}, {0x1, 0x1}, {0x1, 0xa}, {0x5, 0x8}, {0x3, 0x7}, {0x5, 0x3}, {0x7, 0x7}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xc0, 0xd9, 0x5, 0x20, 0x101, 0x8, 0x0, 0xffff]}}, @NL80211_TXRATE_HT={0x4c, 0x2, [{0x1, 0x8}, {0x6, 0x5}, {0x2, 0x3}, {0x1, 0x3}, {0x1, 0x3}, {0x3, 0x4}, {0x1, 0x4}, {0x1, 0x3}, {0x1, 0x9}, {0x4, 0x7}, {0x1, 0x7}, {0x0, 0x4}, {0x2, 0x9}, {0x0, 0x5}, {0x0, 0x3}, {0x1, 0x8}, {0x4, 0xa}, {0x0, 0x4}, {0x1, 0x2}, {0x4, 0x5}, {0x2, 0x2}, {}, {0x4, 0x2}, {0x0, 0x5}, {0x3, 0x4}, {0x0, 0x2}, {0x6, 0xa}, {0x0, 0x3}, {0x0, 0x11}, {0x0, 0x8}, {0x3, 0x1}, {0x0, 0x1}, {0x1}, {0x7, 0x6}, {0x0, 0x8}, {0x6, 0x9}, {0x6, 0xa}, {0x7, 0x1}, {0x2, 0x7}, {0x2, 0x3}, {0x6, 0x7}, {0x6, 0x6}, {0x0, 0x2}, {0x0, 0x5}, {0x1, 0xa}, {0x1, 0x3}, {0x6}, {0x7, 0x6}, {0x0, 0x5}, {0x0, 0x9}, {0x6, 0x1}, {0x1, 0x2}, {0x5, 0x9}, {0x4, 0x1}, {0x3, 0x7}, {0x0, 0x4}, {0x4, 0xa}, {0x5, 0x1}, {0x0, 0x4}, {0x1, 0x4}, {0x0, 0x1}, {0x0, 0x6}, {0x0, 0x4}, {0x6, 0x3}, {0x6, 0xa}, {0x6, 0x8}, {0x6, 0x2}, {0x2, 0xa}, {}, {0x3, 0x1}, {0x4, 0x3}, {0x1}]}, @NL80211_TXRATE_HT={0x29, 0x2, [{0x0, 0x1}, {0x1, 0xa}, {0x3, 0x5}, {0x6, 0x3}, {0x0, 0x5}, {0x7, 0x2}, {0x1, 0x9}, {0x1, 0x3}, {0x1, 0x2}, {0x4, 0x9}, {0x2, 0x9}, {0x5, 0x8}, {0x1, 0x9}, {0x1, 0x9}, {0x0, 0x7}, {0x6, 0x6}, {0x1, 0x9}, {0x3, 0xa}, {0x1, 0x9}, {0x0, 0x7}, {0x4, 0x8}, {0x7, 0x4}, {0x2}, {0x1, 0x4}, {0x5}, {0x6, 0x9}, {0x7}, {0x6, 0x7}, {0x1, 0x5}, {}, {0x4, 0x1}, {0x0, 0xa}, {0x1, 0x9}, {0x4, 0x6}, {0x5, 0x9}, {0x1, 0x5}, {0x6, 0x9}]}, @NL80211_TXRATE_HT={0x31, 0x2, [{0x4, 0x7}, {0x1}, {0x3, 0xa}, {0x2, 0x6}, {0x0, 0x3}, {0x1, 0x7}, {0x7, 0x9}, {0x4}, {0x2, 0xa}, {0x6, 0xa}, {0x2, 0x5}, {0x1, 0x8}, {}, {0x1, 0x5}, {0x0, 0x7}, {0x0, 0xa}, {0x0, 0x7}, {0x5, 0x8}, {0x2, 0x9}, {0x6, 0x5}, {0x3, 0x3}, {0x2, 0x4}, {0x4, 0x7}, {0x1, 0x3}, {0x5, 0x6}, {0x0, 0x4}, {0x4, 0x4}, {0x1, 0x6}, {0x0, 0x6}, {0x0, 0x9}, {0x1, 0x6}, {0x4, 0x5}, {0x6, 0x5}, {0x3, 0x4}, {0x3, 0x7}, {0x1, 0x2}, {0x7}, {0x0, 0x6}, {0x0, 0x6}, {0x3, 0x8}, {0x2, 0x4}, {0x1, 0x3}, {0x1, 0x5}, {0x3, 0x9}, {0x1, 0x1}]}, @NL80211_TXRATE_LEGACY={0x1d, 0x1, [0x30, 0x2, 0x0, 0x48, 0x48, 0x16, 0x6, 0x1, 0x30, 0xb, 0x48, 0x9, 0x4, 0x12, 0x9, 0x30, 0x28, 0x4, 0x60, 0x6, 0x6c, 0x2, 0xb, 0x30, 0x16]}]}, @NL80211_BAND_6GHZ={0x94, 0x3, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x10, 0x1, [0x5f94cfdcd97ff68e, 0x6, 0x2, 0x6c, 0x2, 0x36, 0x4, 0x1, 0x4, 0xb, 0x76, 0x24]}, @NL80211_TXRATE_HT={0x8, 0x2, [{0x0, 0x8}, {0x6, 0x4}, {0x0, 0x9}, {0x1}]}, @NL80211_TXRATE_LEGACY={0x11, 0x1, [0x30, 0x16, 0x6, 0x9, 0x18, 0x6, 0x12, 0x1, 0x9, 0x3, 0x9, 0x6, 0xc]}, @NL80211_TXRATE_HT={0x50, 0x2, [{0x3, 0x2}, {0x6, 0x4}, {0x2, 0x7}, {0x1}, {0x5, 0x4}, {0x4, 0x9}, {0x2, 0x1}, {0x1, 0x2}, {0x3, 0x6}, {0x5, 0xa}, {0x7, 0x5}, {0x2, 0x5}, {0x1, 0xa}, {0x5, 0x3}, {0x1, 0x3}, {0x3, 0x6}, {0x2}, {0x3, 0xa}, {0x3, 0x1}, {0x1, 0x6}, {0x3, 0x2}, {0x7, 0x1}, {0x4, 0x1}, {0x3, 0x7}, {0x0, 0x2}, {0x3, 0x7}, {0x3, 0x2}, {0x0, 0x6}, {0x7, 0x8}, {0x0, 0x9}, {0x4, 0x2}, {0x7, 0xa}, {0x6, 0x8}, {0x3, 0xa}, {0x7, 0x2}, {0x7, 0x3}, {0x5, 0x9}, {0x1, 0x9}, {0x6, 0xa}, {0x6, 0x8}, {0x0, 0x6}, {0x5, 0x3}, {0x4, 0x5}, {0x0, 0x2}, {0x3, 0xa}, {0x1, 0x6}, {0x3, 0x9}, {0x1, 0x1}, {0x4, 0x2}, {0x3, 0x9}, {0x7}, {0x0, 0x7}, {0x6, 0x1}, {0x5, 0x7}, {0x7, 0x2}, {0x0, 0x5}, {0x0, 0x7}, {0x2}, {0x0, 0x4}, {0x5, 0x5}, {0x3}, {0x4, 0x5}, {0x0, 0x7}, {0x4, 0x5}, {0x1}, {0x6, 0x2}, {0x2, 0x9}, {0x3, 0x7}, {0x7}, {0x3, 0x6}, {0x6, 0x1}, {0x6, 0x9}, {0x1, 0x6}, {0x3, 0x9}, {0x6, 0x1}, {0x2, 0x1}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x9, 0x7, 0x6, 0x0, 0x800, 0x9, 0x0, 0x7]}}]}, @NL80211_BAND_5GHZ={0xb8, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x1b, 0x1, [0x36, 0x16, 0x30, 0x3, 0x4, 0x12, 0x60, 0x3, 0x6c, 0x24, 0x12, 0x1d, 0xc, 0x24, 0x3, 0x18, 0x24, 0x5, 0x48, 0x48, 0x12, 0x30, 0x30]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x4, 0x2, 0x1, 0x0, 0x9, 0x2, 0x0, 0x5]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x81, 0x5, 0x61d, 0x7ff, 0xffc0, 0xef, 0x1, 0xe]}}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x21, 0x2, [{0x0, 0x5}, {0x1, 0x1}, {0x3, 0x3}, {0x0, 0x9}, {0x6, 0x2}, {0x2, 0x3}, {0x6, 0x6}, {0x2, 0x8}, {0x2, 0x2}, {0x2, 0x9}, {0x0, 0x3}, {0x5, 0x1}, {0x6, 0xa}, {0x7, 0x3}, {0x3, 0x5}, {0x2, 0x8}, {0x1, 0x1}, {0x7, 0xa}, {0x1, 0x7}, {0x0, 0x5}, {0x5, 0x6}, {0x1, 0x7}, {0x2, 0x1}, {0x7}, {0x7, 0xa}, {0x7, 0x7}, {0x2, 0x6}, {0x0, 0xa}, {0x7}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1, 0x80, 0x1, 0x0, 0x401, 0x0, 0x4, 0x7]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x8, 0x101, 0xfd, 0x2, 0x1, 0x2, 0x1]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x8000, 0x8, 0x1f, 0x7, 0x7, 0x7, 0x4, 0xad9d]}}]}]}, @NL80211_ATTR_TX_RATES={0x20c, 0x5a, 0x0, 0x1, [@NL80211_BAND_60GHZ={0x44, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x101, 0x101, 0x7, 0x8981, 0x1, 0x67, 0xffff, 0x200]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_LEGACY={0x24, 0x1, [0x60, 0x18, 0xc, 0x18, 0x24, 0x18, 0x18, 0x30, 0x4, 0x8, 0x1, 0x24, 0x3, 0x6c, 0xc, 0x24, 0x2, 0x1b, 0x0, 0x5, 0x5, 0x16, 0xb, 0x3, 0x12, 0xb, 0xc, 0x2, 0x9, 0x18, 0x5, 0xb]}]}, @NL80211_BAND_2GHZ={0x8c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x17, 0x1, [0x36, 0x6c, 0x12, 0x3, 0x60, 0x1b, 0x12, 0x60, 0x5f, 0x2, 0x2, 0x16, 0x4, 0x2d, 0x1b, 0x6c, 0x3, 0x36, 0x1]}, @NL80211_TXRATE_LEGACY={0xb, 0x1, [0x48, 0x0, 0x9, 0x4, 0x9, 0x3, 0x2]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x9, 0x7ff, 0x4, 0x8, 0x3, 0x0, 0x7]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1ff, 0x8, 0x4, 0xffff, 0x101, 0x95, 0x4, 0x4]}}, @NL80211_TXRATE_HT={0x23, 0x2, [{0x1, 0x1}, {0x4, 0x5}, {0x1, 0x8}, {0x7, 0x1}, {0x0, 0x1}, {0x0, 0x8}, {0x1, 0x7}, {0x7, 0x4}, {0x1, 0x7}, {0x4, 0xa}, {0x6, 0x7}, {0x5, 0x5}, {0x0, 0x2}, {0x7, 0x2}, {0x7, 0x8}, {0x7, 0x9}, {0x0, 0x1}, {0x7, 0x3}, {0x0, 0x3}, {0x1, 0x8}, {0x6, 0x4}, {0x1, 0x2}, {0x6, 0x8}, {0x6, 0x8}, {0x0, 0x1}, {0x4, 0x5}, {0x3, 0xa}, {0x1, 0xa}, {0x2, 0xa}, {0x7, 0x8}, {0x0, 0x7}]}]}, @NL80211_BAND_5GHZ={0x2c, 0x1, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x6, 0x20, 0x2, 0x9, 0x3ff, 0x3]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7, 0x7, 0x3, 0x4, 0x3, 0x7, 0x2, 0x7ff]}}]}, @NL80211_BAND_6GHZ={0x80, 0x3, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x7fff, 0x7, 0x5, 0x4, 0x8001, 0x3, 0x6, 0x2]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x49, 0x2, [{0x5, 0x3}, {0x1, 0x5}, {0x1, 0x4}, {0x2, 0x4}, {0x1, 0x9}, {0x6, 0x6}, {0x5, 0x2}, {0x2, 0x2}, {0x0, 0x3}, {0x2}, {}, {0x5, 0x3}, {0x0, 0x2}, {0x5, 0x5}, {0x4, 0x5}, {0x2, 0x8}, {0x5, 0x3}, {0x1, 0x6}, {0x1, 0xa}, {0x0, 0x6}, {}, {0x6, 0x5}, {0x5, 0x9}, {0x0, 0x1}, {0x7, 0x6}, {0x4, 0x8}, {0x4, 0x7}, {0x3, 0x7}, {0x6, 0x8}, {0x1, 0x7}, {0x1, 0x8}, {0x2, 0x6}, {0x6, 0x6}, {0x6, 0x7}, {0x5, 0x5}, {0x5, 0x3}, {0x7, 0x5}, {0x7, 0x6}, {0x2, 0x2}, {0x2, 0xa}, {0x2, 0x2}, {0x7, 0x5}, {0x1, 0x3}, {0x4, 0x6}, {0x4}, {0x3, 0x6}, {0x2, 0x4}, {0x4, 0x7}, {0x2, 0x4}, {0x1, 0x4}, {0x3, 0x9}, {0x3, 0x8}, {0x5, 0x5}, {0x0, 0x3}, {0x2, 0x2}, {0x2, 0x4}, {0x1, 0x3}, {0x6, 0x5}, {0x3, 0x4}, {0x3, 0x9}, {0x2, 0x1}, {0x1}, {0x4, 0x6}, {0x7, 0x4}, {0x5, 0x5}, {0x0, 0x6}, {0x4, 0x8}, {0x0, 0x8}, {0x4, 0x4}]}, @NL80211_TXRATE_LEGACY={0x11, 0x1, [0x18, 0x60, 0x16, 0xc, 0x2, 0x36, 0x5, 0xc, 0x2, 0x60, 0xb, 0x24, 0x3]}]}, @NL80211_BAND_2GHZ={0x74, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x9, 0x1, [0x60, 0x2, 0xb, 0x6, 0x1]}, @NL80211_TXRATE_HT={0x20, 0x2, [{0x4, 0x1}, {0x4, 0x2}, {0x0, 0x4}, {0x4}, {0x7, 0x6}, {0x4, 0x9}, {0x1, 0x8}, {0x3, 0x7}, {0x0, 0x9}, {0x4, 0x9}, {0x2, 0x5}, {0x0, 0xa}, {0x0, 0x9}, {0x4, 0x4}, {0x4, 0x7}, {0x4, 0x5}, {0x7, 0x7}, {0x7, 0x3}, {0x6, 0x8}, {0x1, 0x6}, {0x5, 0x9}, {}, {0x2}, {0x2, 0xa}, {0x4, 0xa}, {0x3, 0x7}, {0x1, 0x1}, {0x3, 0x9}]}, @NL80211_TXRATE_HT={0x1d, 0x2, [{0x4, 0x3}, {0x0, 0x3}, {0x3}, {0x1, 0x1}, {0x1, 0x2}, {0x2, 0x7}, {0x5, 0x9}, {0x1, 0x2}, {0x1, 0x8}, {0x3, 0x7}, {0x4, 0x6}, {0x1, 0x5}, {0x0, 0x5}, {0x6, 0x2}, {0x0, 0x5}, {0x5, 0x5}, {0x4}, {0x5, 0xa}, {0x4, 0x7}, {0x1, 0xa}, {0x7, 0xa}, {0x6, 0x1}, {0x5, 0xa}, {0x1}, {0x4, 0x6}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7, 0x4, 0x80f3, 0x8000, 0x7b, 0x3, 0x7ff, 0x4]}}, @NL80211_TXRATE_LEGACY={0x5, 0x1, [0x6]}]}, @NL80211_BAND_6GHZ={0x18, 0x3, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x3ff, 0x1, 0x200, 0x3, 0x3, 0x0, 0x81, 0x1]}}]}]}, @NL80211_ATTR_TX_RATES={0x1d0, 0x5a, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x9c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_LEGACY={0xe, 0x1, [0x48, 0x16, 0x2, 0x12, 0x2, 0x18, 0x4, 0x24, 0x48, 0x1]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x5e, 0x50, 0x7ff, 0x0, 0x9, 0x5, 0x6, 0x7a]}}, @NL80211_TXRATE_HT={0x21, 0x2, [{0x2, 0xa}, {0x5, 0xa}, {0x2, 0x9}, {0x1, 0x5}, {0x6, 0x2}, {0x1, 0x3}, {0x0, 0x6}, {0x2, 0x2}, {0x1, 0x9}, {0x1, 0x9}, {0x2, 0x9}, {0x1, 0x5}, {0x0, 0xa}, {0x6, 0x6}, {0x6, 0x3}, {0x7, 0x9}, {0x0, 0x6}, {0x6, 0x11}, {0x5, 0x6}, {0x4, 0x3}, {0x4, 0x7}, {0x4, 0x6}, {0x0, 0x8}, {0x0, 0x8}, {0x5, 0x3}, {0x1, 0x2}, {0x7, 0x4}, {0x1, 0x8}, {0x1, 0x6}]}, @NL80211_TXRATE_HT={0x46, 0x2, [{0x1, 0x5}, {0x6, 0x6}, {0x2, 0x4}, {0x7, 0x9}, {0x3, 0x4}, {0x0, 0x17}, {0x7, 0x7}, {0x5, 0x6}, {0x5, 0xa}, {0x3, 0x2}, {0x0, 0x8}, {0x4, 0x6}, {0x0, 0xa}, {0x4, 0x2}, {0x0, 0xa}, {0x7, 0x5}, {0x6, 0x9}, {0x0, 0x6}, {0x3, 0x6}, {0x7, 0x8}, {0x3, 0x6}, {0x1, 0x1}, {0x0, 0x8}, {0x0, 0x3}, {0x4, 0x1}, {0x4, 0x1}, {0x7, 0x2}, {0x3, 0xa}, {0x5, 0x3}, {}, {0x6, 0x3}, {0x4, 0x8}, {0x4, 0x5}, {0x5, 0x6}, {0x0, 0x4}, {0x5, 0x6}, {0x2, 0x4}, {0x4, 0x8}, {0x0, 0x6}, {0x2, 0x6}, {0x4, 0x3}, {0x4, 0xa}, {0x1, 0xa}, {0x0, 0x3}, {0x7, 0xa}, {0x0, 0x8}, {0x1, 0x4}, {0x0, 0xa}, {0x1, 0x2}, {0x0, 0x7}, {0x5, 0x8}, {0x5, 0xa}, {0x5, 0x9}, {0x1, 0x3}, {0x0, 0x4}, {0x6, 0x8}, {0x5, 0x9}, {0x3, 0x7}, {0x1}, {0x1, 0x2}, {0x0, 0xa}, {}, {0x4, 0x4}, {0x6, 0x8}, {0x4, 0x5}, {0x4}]}]}, @NL80211_BAND_60GHZ={0x4c, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x3ff, 0x3f, 0x8000, 0xfcfe, 0x3, 0x40, 0xffff]}}, @NL80211_TXRATE_LEGACY={0x23, 0x1, [0x6, 0x5, 0xb, 0x18, 0x36, 0x1b, 0x48, 0x60, 0x5, 0xb, 0x6, 0x60, 0x1b, 0x6, 0x24, 0x6, 0xb, 0x60, 0x8, 0x1, 0x18, 0x5, 0x48, 0x2, 0x60, 0x3, 0x5, 0x24, 0x6c, 0x60, 0x12]}, @NL80211_TXRATE_HT={0xd, 0x2, [{0x5, 0x7}, {0x5, 0x4}, {0x0, 0xa}, {0x7, 0x4}, {0x5, 0x7}, {0x1, 0x7}, {0x7, 0x2}, {0x4}, {0x7, 0x6}]}]}, @NL80211_BAND_60GHZ={0x20, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x800, 0xf000, 0x3ff, 0x7f4, 0x200, 0x1f, 0xf000]}}]}, @NL80211_BAND_60GHZ={0x38, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x12c, 0x1, 0xfff, 0x401, 0x1, 0x3, 0x80, 0x3]}}, @NL80211_TXRATE_LEGACY={0x1d, 0x1, [0xb, 0x24, 0x1, 0x36, 0x9, 0xc, 0x2, 0x30, 0x48, 0x12, 0x9, 0x6c, 0x2, 0x16, 0x1, 0x9, 0x24, 0x24, 0x24, 0x18, 0x16, 0x4, 0x9, 0x6, 0x6c]}]}, @NL80211_BAND_60GHZ={0x8c, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0xe, 0x2, [{0x2, 0x2}, {0x0, 0xa}, {0x7, 0x9}, {}, {0x2, 0x1}, {0x0, 0x8}, {0x5, 0x3}, {0x5, 0xa}, {0x0, 0x8}, {0x5, 0x9}]}, @NL80211_TXRATE_HT={0x1e, 0x2, [{0x1, 0x7}, {0x0, 0x2}, {0x4, 0x1}, {0x1, 0x6}, {0x6, 0x5}, {0x2, 0x7}, {0x2, 0x1}, {0x1, 0x3}, {0x5, 0x7}, {0x5, 0x8}, {0x1, 0x9}, {0x7, 0x2}, {0x0, 0x2}, {0x6, 0xa}, {}, {0x2, 0x4}, {0x1, 0x9}, {0x3, 0x8}, {0x5, 0x9}, {0x0, 0xa}, {0x6}, {0x1, 0x5}, {0x6, 0x6}, {0x4, 0x6}, {0x1}, {0x0, 0x7}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x1e, 0x1, [0x4, 0x48, 0x24, 0x12, 0x48, 0x6, 0x60, 0x48, 0x60, 0x3, 0x16, 0x16, 0x18, 0xb, 0x29, 0x18, 0x9, 0x12, 0x0, 0x1, 0x6c, 0x30, 0x6c, 0x51, 0x24, 0x48]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1f6, 0x5, 0x4, 0x2e99, 0x3fa, 0xfff, 0x3ff, 0x8000]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7ff, 0x6, 0x9, 0x8001, 0x4, 0x1, 0x7f, 0x7]}}]}]}, @NL80211_ATTR_TX_RATES={0x30c, 0x5a, 0x0, 0x1, [@NL80211_BAND_60GHZ={0x98, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0x20, 0x2, [{0x4, 0x9}, {0x6, 0x8}, {0x6, 0x4}, {0x7, 0x9}, {0x7, 0x1}, {0x1, 0x1}, {0x2, 0x2}, {0x3, 0x5}, {0x0, 0x1}, {0x0, 0x6}, {0x0, 0x3}, {0x0, 0x1}, {0x1, 0x7}, {}, {0x6}, {0x0, 0x9}, {0x3, 0x9}, {0x1, 0x1}, {0x7, 0x9}, {0x7, 0x1}, {0x2}, {0x6, 0x8}, {0x3, 0xa}, {0x1, 0xa}, {0x4, 0x7}, {0x4, 0x5}, {0x0, 0x2}, {0x0, 0x5}]}, @NL80211_TXRATE_LEGACY={0xe, 0x1, [0x12, 0x3, 0x18, 0x36, 0x1, 0x5, 0x12, 0x12, 0x1b, 0x0]}, @NL80211_TXRATE_LEGACY={0x4}, @NL80211_TXRATE_HT={0x3e, 0x2, [{0x1, 0x9}, {0x7, 0x3}, {0x5}, {0x3, 0x4}, {0x5, 0x4}, {0x3, 0x2}, {0x6, 0x6}, {0x2, 0x1}, {0x4, 0x6}, {0x5}, {0x0, 0x9}, {0x3, 0x7}, {0x7, 0x7}, {0x1, 0x9}, {0x0, 0x4}, {0x0, 0x6}, {0x2, 0x9}, {0x4, 0x7}, {0x2, 0x9}, {0x3, 0x6}, {0x0, 0x6}, {0x3, 0x6}, {0x1, 0x9}, {0x3, 0x9}, {0x0, 0x2}, {0x1, 0xa}, {0x7, 0x8}, {0x2, 0x9}, {0x6, 0x2}, {0x1, 0x9}, {0x5, 0x2}, {0x4, 0x2}, {0x6}, {0x5, 0x5}, {0x0, 0x4}, {0x7, 0x9}, {0x2}, {0x5, 0x5}, {0x6, 0x4}, {0x0, 0x1}, {0x3, 0x2}, {0x0, 0x5}, {0x5}, {0x2, 0x6}, {}, {0x0, 0x2}, {0x0, 0x2}, {0x0, 0x6}, {0x1, 0x4}, {0x7, 0x2}, {0x3, 0xa}, {0x5, 0xa}, {0x3, 0xa}, {0x4, 0x5}, {0x0, 0xa}, {0x2, 0x9}, {0x5, 0x2}, {0x2, 0x9}]}, @NL80211_TXRATE_LEGACY={0xa, 0x1, [0x4e, 0x2, 0x12, 0x1, 0x1b, 0xb]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xa9d0, 0x2, 0x8, 0x3, 0x0, 0x5, 0x3, 0x6ae7]}}]}, @NL80211_BAND_2GHZ={0x9c, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x20, 0x1, [0x48, 0x12, 0xb, 0x5, 0xb, 0x2, 0x18, 0x16, 0x48, 0x24, 0x48, 0x36, 0x16, 0x6, 0x6, 0xb, 0x18, 0xb, 0x0, 0x0, 0x30, 0x6c, 0x36, 0x4, 0x9, 0x16, 0x6, 0x24]}, @NL80211_TXRATE_LEGACY={0xa, 0x1, [0x2a, 0x9, 0x3, 0xc, 0xc, 0x3]}, @NL80211_TXRATE_LEGACY={0xa, 0x1, [0x3, 0xb, 0x1b, 0x7, 0x2, 0x6]}, @NL80211_TXRATE_HT={0xd, 0x2, [{0x7, 0xa}, {0x4, 0x4}, {0x3}, {0x0, 0x3}, {0x2, 0x5}, {0x0, 0x9}, {0x1, 0x5}, {0x2, 0x5}, {0x5, 0x1}]}, @NL80211_TXRATE_LEGACY={0x17, 0x1, [0x4, 0x5, 0x36, 0x6c, 0x1b, 0x24, 0x36, 0x0, 0x24, 0x60, 0x36, 0xb, 0x18, 0x9, 0x3, 0x60, 0x2, 0x16, 0x9]}, @NL80211_TXRATE_LEGACY={0x9, 0x1, [0x2, 0x16, 0x2, 0xc, 0x16]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1000, 0x8, 0x5, 0x1000, 0x0, 0x4a, 0x7ff]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x9, 0x2, 0x7, 0x8, 0x0, 0x885, 0x7, 0x8]}}, @NL80211_TXRATE_LEGACY={0x4}]}, @NL80211_BAND_2GHZ={0xb8, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_HT={0x31, 0x2, [{0x4, 0x7}, {0x5, 0x8}, {0x1, 0x3}, {0x6, 0x8}, {0x1, 0x8}, {0x5, 0x2}, {0x1, 0xa}, {0x6, 0x3}, {0x2, 0x2}, {0x4, 0x4}, {0x4, 0x3}, {0x5, 0x4}, {0x0, 0x5}, {0x1, 0x8}, {0x1, 0x1}, {0x2, 0xa}, {0x6, 0x2}, {0x4, 0x5}, {0x0, 0x6}, {0x3, 0x3}, {0x5, 0x9}, {0x3, 0xa}, {0x2}, {0x3, 0x8}, {0x4, 0x8}, {0x1, 0x4}, {0x7, 0x5}, {0x7, 0x5}, {0x5, 0x6}, {0x1, 0x3}, {0x3, 0x1}, {0x7, 0x3}, {0x2, 0x8}, {0x5}, {0x2, 0x6}, {0x4, 0x3}, {0x3, 0x6}, {0x0, 0x6}, {0x1, 0x5}, {0x6, 0x2}, {0x7, 0x7}, {0x1, 0x8}, {0x4, 0x9}, {0x4, 0x1}, {0x1, 0xa}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x5, 0xfffa, 0x7ff, 0x1, 0x0, 0x400, 0xb5, 0xff]}}, @NL80211_TXRATE_HT={0x5, 0x2, [{0x0, 0x3}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_LEGACY={0x18, 0x1, [0x30, 0x1, 0x1b, 0x3, 0x6, 0x16, 0x12, 0x36, 0x16, 0x6, 0x3, 0x2, 0x18, 0x6c, 0xb, 0x1b, 0x4, 0x18, 0x24, 0x1]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x2, 0x401, 0x101, 0x40, 0xfc00, 0xffff, 0x5553, 0x3]}}, @NL80211_TXRATE_LEGACY={0x13, 0x1, [0x30, 0x6, 0x18, 0x1, 0x16, 0x9, 0x48, 0xc, 0x5, 0x4, 0x6, 0x30, 0xc, 0xa, 0x2]}, @NL80211_TXRATE_HT={0xa, 0x2, [{0x6, 0xa}, {0x0, 0x7}, {0x2, 0x6}, {0x7, 0x5}, {0x4, 0x6}, {0x7, 0x6}]}]}, @NL80211_BAND_2GHZ={0x24, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x17, 0x1, [0x36, 0x1b, 0x9, 0x36, 0x24, 0x6, 0x16, 0x6, 0x9, 0xc, 0x4, 0x48, 0x6c, 0x2, 0x60, 0x9, 0x3, 0xc, 0x2ed7636bd76aa890]}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_5GHZ={0xa8, 0x1, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x1, 0x7, 0x7, 0x5, 0x800, 0x8000, 0x1]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x15, 0x1, [0x3, 0x1b, 0x1b, 0x12, 0x16, 0x12, 0x12, 0xc, 0x60, 0x16, 0xc, 0x1b, 0x30, 0x60, 0x36, 0x30, 0x48]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7f, 0x401, 0x0, 0x8, 0x9, 0x40, 0x1, 0xfc76]}}, @NL80211_TXRATE_HT={0x51, 0x2, [{0x1, 0x3}, {0x2}, {0x0, 0x3}, {0x6, 0x1}, {0x2, 0x6}, {0x5, 0x5}, {0x2, 0x1}, {0x4, 0xa}, {0x0, 0x2}, {0x6, 0x8}, {0x5}, {0x2, 0x2}, {0x2, 0xa}, {}, {0x0, 0x5}, {0x3, 0xa}, {0x3, 0xa}, {0x3, 0x3}, {0x6, 0x6}, {0x6, 0x4}, {}, {0x6, 0x5}, {0x0, 0x5}, {0x2, 0x4}, {0x3, 0x9}, {0x3, 0x2}, {0x1, 0x7}, {0x6, 0x7}, {0x0, 0x3}, {0x1}, {0x7, 0x3}, {0x3, 0x6}, {0x0, 0x6}, {0x6, 0x9}, {0x7, 0xa}, {0x7, 0x7}, {0x5, 0xa}, {0x5, 0x1}, {0x0, 0x8}, {0x1, 0x9}, {0x0, 0xa}, {0x3, 0x5}, {0x1, 0x8}, {0x2, 0x6}, {0x0, 0x6}, {0x0, 0x8}, {0x0, 0x7}, {0x2, 0x7}, {0x3, 0x5}, {0x0, 0x5}, {0x4, 0x1}, {0x1, 0xa}, {0x6, 0x3}, {0x1, 0x9}, {0x2, 0x6}, {0x3, 0xa}, {0x2, 0x5}, {0x2, 0x4}, {0x4}, {0x1, 0x5}, {0x7, 0x5}, {0x1, 0x2}, {0x5, 0x9}, {0x5, 0x4}, {0x5, 0x5}, {0x1, 0x8}, {0x6}, {0x0, 0x7}, {0x3, 0x6}, {0x4, 0x6}, {0x2, 0x9}, {0x1, 0x7}, {0x1, 0x6}, {0x2, 0x2}, {0x6, 0x5}, {0x2, 0x4}, {0x1, 0x3}]}]}, @NL80211_BAND_60GHZ={0x50, 0x2, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x15, 0x1, [0x48, 0x4, 0x36, 0x16, 0x6c, 0x16, 0x1b, 0x2, 0x30, 0xb, 0x48, 0x30, 0x1b, 0x36, 0x4, 0x0, 0x6c]}, @NL80211_TXRATE_HT={0x24, 0x2, [{0x0, 0x1}, {0x3, 0x2}, {0x5, 0xa}, {0x1, 0x7}, {0x3, 0x1}, {0x5, 0x5}, {0x0, 0x1}, {0x1, 0x6}, {0x4, 0x3}, {0x3}, {0x7, 0x8}, {0x1, 0x4}, {0x2, 0x5}, {0x7, 0x5}, {0x3, 0x8}, {0x5, 0x3}, {0x1, 0x6}, {0x7, 0x9}, {0x1, 0x6}, {0x4, 0x6}, {0x3, 0x3}, {}, {0x1, 0x9}, {0x1, 0x1}, {0x3, 0xa}, {0x7, 0x4}, {0x0, 0x4}, {0x2, 0x2}, {0x4, 0x9}, {0x0, 0x5}, {0x0, 0x6}, {0x0, 0x9}]}, @NL80211_TXRATE_LEGACY={0x10, 0x1, [0xb, 0x6, 0x16, 0x20, 0x2, 0x12, 0x9, 0x24, 0x36, 0x9, 0x12, 0x30]}]}]}, @NL80211_ATTR_TX_RATES={0x168, 0x5a, 0x0, 0x1, [@NL80211_BAND_60GHZ={0x18, 0x2, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x12, 0x1, [0x18, 0x3, 0x16, 0x48, 0x1b, 0x18, 0x36, 0xc, 0x2, 0x1, 0x29, 0x1b, 0x2, 0x18]}]}, @NL80211_BAND_5GHZ={0x14, 0x1, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}, @NL80211_BAND_6GHZ={0x7c, 0x3, 0x0, 0x1, [@NL80211_TXRATE_HT={0x12, 0x2, [{0x7, 0x5}, {0x1}, {0x2, 0x8}, {0x1, 0x5}, {0x6, 0x7}, {0x4, 0x1}, {0x0, 0x4}, {0x1, 0xa}, {0x6}, {0x4, 0x5}, {0x1, 0x8}, {0x0, 0x6}, {0x7, 0x6}, {0x6, 0x2}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x3}, @NL80211_TXRATE_LEGACY={0x21, 0x1, [0x4, 0xc, 0xc, 0x30, 0x48, 0x6c, 0x6, 0x1, 0x4, 0x12, 0xc, 0x18, 0x2, 0x24, 0xc, 0x1, 0x6, 0x6, 0x62, 0x1, 0x4, 0x9, 0x30, 0x16, 0x9, 0x1b, 0xb, 0x24, 0xc]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x2, 0x3, 0x0, 0x0, 0x1, 0x7, 0x5]}}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0xd0, 0x8, 0x22e, 0x7, 0x9, 0x9, 0x5]}}]}, @NL80211_BAND_6GHZ={0xbc, 0x3, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0xa3a0, 0x8, 0x7, 0x9, 0x1, 0xb7b, 0x0, 0x1]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x32, 0x2, [{0x6, 0x3}, {0x1, 0x9}, {0x1, 0x4}, {0x4, 0x3}, {0x6, 0xa}, {0x1}, {0x1}, {0x2, 0x9}, {0x4, 0x7}, {0x6, 0x2}, {0x0, 0xa}, {0x3, 0x5}, {0x0, 0xa}, {0x6, 0x8}, {0x4, 0x4}, {0x3, 0x9}, {0x5, 0x8}, {0x7, 0xa}, {0x2}, {0x1, 0x8}, {0x0, 0x9}, {0x6, 0xa}, {}, {0x7, 0x1}, {0x5, 0x9}, {0x3, 0x9}, {0x3, 0x6}, {0x5, 0x7}, {0x5, 0x4}, {0x6, 0x1}, {0x6, 0x2}, {0x0, 0x6}, {0x6, 0x1}, {0x7, 0x5}, {0x5, 0x5}, {0x3, 0x8}, {0x6, 0x1}, {0x0, 0x5}, {0x1, 0x4}, {0x3, 0x1}, {0x3, 0x7}, {0x3, 0xa}, {0x4, 0x2}, {0x1, 0x2}, {0x2, 0xa}, {0x0, 0xa}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x4, 0x5, 0x40, 0x7, 0xbff6, 0x7, 0xf7]}}, @NL80211_TXRATE_LEGACY={0x1d, 0x1, [0x36, 0x24, 0x4, 0x12, 0x6c, 0x16, 0x0, 0xc, 0x6, 0x12, 0x48, 0x5, 0x36, 0x6, 0x24, 0x4, 0x16, 0x36, 0x76, 0x2d, 0x2, 0x1, 0x48, 0x36, 0x30]}, @NL80211_TXRATE_HT={0x1c, 0x2, [{0x0, 0x4}, {0x3, 0x6}, {0x1, 0xa}, {0x0, 0x1}, {0x4, 0x7}, {0x5, 0x5}, {0x0, 0xa}, {0x7, 0x8}, {0x0, 0xa}, {0x6, 0x9}, {0x4, 0x7}, {0x4, 0xa}, {0x1, 0x7}, {0x6, 0x7}, {0x1, 0x4}, {0x2, 0x6}, {0x5, 0x2}, {0x7, 0x3}, {0x4}, {0x7, 0xa}, {0x7, 0x3}, {0x6, 0x3}, {0x0, 0x5}, {0x4, 0xa}]}, @NL80211_TXRATE_HT={0x18, 0x2, [{0x1, 0x4}, {0x6, 0x6}, {0x7, 0x4}, {0x5, 0x6}, {0x4, 0xa}, {0x4, 0x2}, {0x6, 0x9}, {0x3, 0x6}, {0x7, 0x1}, {0x0, 0x2}, {0x1}, {0x1}, {}, {0x1, 0x2}, {0x4, 0xa}, {0x5, 0xa}, {0x0, 0x7}, {0x3, 0x7}, {0x0, 0x2}, {0x4, 0x5}]}]}]}, @NL80211_ATTR_TX_RATES={0x140, 0x5a, 0x0, 0x1, [@NL80211_BAND_5GHZ={0x28, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x13, 0x1, [0x5, 0x2, 0xb, 0x36, 0x6c, 0x30, 0x1b, 0x4, 0x16, 0xb, 0x16, 0xc, 0x16, 0x6c, 0x68]}, @NL80211_TXRATE_LEGACY={0x6, 0x1, [0x1b, 0x6c]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}, @NL80211_BAND_60GHZ={0x78, 0x2, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x23, 0x1, [0x18, 0x24, 0x16, 0x24, 0x24, 0x16, 0x1, 0x6, 0x9, 0x60, 0x5, 0x3, 0x24, 0x60, 0x16, 0x24, 0x24, 0x16, 0x12, 0x18, 0x12, 0x14, 0x48, 0x5, 0x60, 0x1, 0x6c, 0xc, 0x60, 0x1, 0x18]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x8, 0x7ff, 0x3, 0x7, 0x7634, 0xcee, 0x3, 0x40]}}, @NL80211_TXRATE_LEGACY={0x1c, 0x1, [0x48, 0xb, 0x2, 0x36, 0x4, 0x36, 0x3, 0x5, 0x1, 0xb, 0x24, 0x5, 0x1, 0x2, 0x30, 0x30, 0x18, 0x5, 0xc8086ebe38fc5276, 0x4, 0xc, 0x6c, 0xb, 0x12]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_5GHZ={0x9c, 0x1, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x9, 0x101, 0x3, 0xc8, 0x5, 0xc90, 0x9, 0x3f]}}, @NL80211_TXRATE_LEGACY={0x12, 0x1, [0x16, 0x48, 0x18, 0xb, 0x30, 0x18, 0x71, 0x36, 0x0, 0x30, 0x36, 0xb, 0x0, 0x5]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x1ff, 0x2, 0x5, 0xf001, 0x3, 0x0, 0x401]}}, @NL80211_TXRATE_LEGACY={0xf, 0x1, [0x4, 0x24, 0x6c, 0xc, 0x1, 0x6c, 0x6c, 0x1b, 0x36, 0x30, 0x1b]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_HT={0x42, 0x2, [{0x0, 0x3}, {0x0, 0x2}, {0x4, 0x5}, {0x1, 0xa}, {0x0, 0x4}, {0x4, 0x3}, {0x4, 0x8}, {0x3, 0x5}, {0x2, 0xa}, {0x4, 0x1}, {0x6, 0x2}, {0x4, 0x2}, {0x2, 0x7}, {0x7, 0x8}, {0x1, 0x9}, {0x7, 0x9}, {0x4, 0x4}, {0x4, 0xa}, {0x5}, {0x3, 0x9}, {0x1}, {0x7, 0x5}, {0x6, 0x3}, {0x0, 0x4}, {0x0, 0x7}, {0x7, 0x2}, {0x2, 0xa}, {0x7, 0xa}, {0x1}, {0x4, 0x9}, {0x5, 0x5}, {0x1, 0x3}, {0x5, 0x4}, {0x6, 0xa}, {0x1, 0x2}, {0x6, 0x3}, {0x3, 0x7}, {0x4, 0x7}, {0x1}, {0x0, 0x4}, {0x1, 0x7}, {0x5, 0xa}, {0x4, 0x8}, {0x1, 0x8}, {0x4, 0x7}, {0x3, 0x3}, {0x3, 0x5}, {0x0, 0x7}, {0x6, 0x7}, {0x4, 0x3}, {0x5, 0x3}, {0x2, 0x6}, {0x4, 0x7}, {0x2, 0x8}, {0x1, 0x8}, {0x6, 0x7}, {0x0, 0x4}, {0x2, 0x3}, {0x4, 0xa}, {0x5, 0x9}, {0x3, 0x9}, {0x7, 0x1}]}]}]}, @NL80211_ATTR_TX_RATES={0x1b8, 0x5a, 0x0, 0x1, [@NL80211_BAND_5GHZ={0x7c, 0x1, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x6, 0x3, 0x1, 0x5c4d, 0x100, 0x7, 0xfca, 0x638]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x0, 0x7fff, 0x0, 0xffff, 0x3, 0x4]}}, @NL80211_TXRATE_HT={0x2a, 0x2, [{0x6, 0x8}, {0x3, 0x8}, {0x1}, {0x1, 0xa}, {0x7}, {0x3, 0xa}, {0x5, 0x5}, {0x5, 0x8}, {0x1, 0x1}, {0x3, 0x8}, {0x5, 0x4}, {0x2, 0x2}, {0x3, 0x7}, {0x7, 0x1}, {0x4, 0xa}, {0x6, 0x4}, {0x1, 0x7}, {0x6, 0x5}, {0x5, 0x7}, {0x3, 0x6}, {0x7, 0x5}, {0x6, 0x6}, {0x7, 0x6}, {0x2, 0x9}, {0x2, 0x6}, {0x6, 0xa}, {0x5, 0x3}, {0x7, 0x1}, {0x3, 0x7}, {0x2, 0x1}, {0x6}, {0x6, 0x4}, {0x3, 0x8}, {0x3}, {0x4, 0x9}, {0x1, 0x9}, {0x1, 0x5}, {0x0, 0x7}]}, @NL80211_TXRATE_LEGACY={0x7, 0x1, [0x1b, 0x18, 0x1]}, @NL80211_TXRATE_LEGACY={0x6, 0x1, [0x5, 0x18]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x100, 0x6a0, 0xbea, 0x400, 0x1, 0x9, 0x2]}}]}, @NL80211_BAND_2GHZ={0x20, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x401, 0x4, 0x1, 0x3, 0xff, 0x81, 0x80, 0x8a9]}}]}, @NL80211_BAND_5GHZ={0xb8, 0x1, 0x0, 0x1, [@NL80211_TXRATE_HT={0x11, 0x2, [{0x2}, {0x7, 0x8}, {0x7, 0x9}, {0x7, 0x4}, {0x2, 0x2}, {0x5, 0x9}, {0x3, 0x2}, {0x6, 0xa}, {0x7, 0x6}, {0x1, 0x5}, {0x0, 0xa}, {0x2, 0xa}, {0x7, 0x4}]}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0xfffd, 0x3f, 0x5, 0x7ff, 0x20, 0x20, 0x2]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x958, 0x5, 0x1000, 0x7c2, 0xfd3b, 0x6, 0xffff, 0x8]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x2, 0x9, 0x4, 0xe, 0x6, 0x2ad, 0x5b6, 0x1]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1636, 0x1, 0x8, 0x3888, 0x1, 0x1, 0x1f, 0x7]}}, @NL80211_TXRATE_HT={0x45, 0x2, [{0x4, 0x1}, {0x1, 0x4}, {0x0, 0x8}, {0x6, 0x8}, {0x5, 0x3}, {0x6, 0x6}, {0x0, 0x7}, {0x6, 0x6}, {0x0, 0xa}, {0x6, 0x4}, {0x1, 0x5}, {0x6, 0x7}, {0x0, 0x1}, {0x7, 0x7}, {0x0, 0x4}, {0x6, 0x5}, {0x0, 0x4}, {0x2, 0x2}, {0x1}, {0x6, 0x2}, {0x5, 0x5}, {0x4, 0x8}, {0x6, 0x5}, {0x0, 0x4}, {0x6, 0x3}, {0x0, 0x2}, {0x4, 0x4}, {0x0, 0x4}, {0x5, 0x4}, {0x5, 0x8}, {0x2, 0x1}, {0x2, 0x9}, {0x5, 0x1}, {0x4, 0x5}, {0x4, 0x9}, {0x6, 0x5}, {0x2, 0x5}, {0x0, 0x1}, {0x5, 0x2}, {0x5, 0x4}, {0x2, 0x5}, {0x2, 0x6}, {0x2, 0x6}, {0x2, 0x1}, {0x2, 0x2}, {0x6}, {0x1, 0x3}, {0x6, 0x4}, {0x0, 0x2}, {0x3, 0x7}, {0x6}, {0x0, 0x7}, {0x0, 0x2}, {0x3, 0x9}, {0x2, 0x9}, {0x1, 0xa}, {0x5, 0xa}, {0x5, 0xa}, {0x2, 0x5}, {0x0, 0x6}, {0x0, 0x5}, {0x6, 0x8}, {0x4, 0x9}, {0x2, 0x4}, {0x5}]}]}, @NL80211_BAND_6GHZ={0x60, 0x3, 0x0, 0x1, [@NL80211_TXRATE_HT={0x22, 0x2, [{0x4, 0x5}, {0x1, 0x6}, {0x1, 0x8}, {0x0, 0xa}, {0x5}, {0x6, 0x2}, {0x6, 0x3}, {0x1, 0x3}, {0x3, 0x9}, {0x3, 0x3}, {0x6, 0x8}, {0x4, 0x4}, {0x3, 0x9}, {0x4, 0x7}, {0x5, 0x4}, {0x0, 0x1}, {0x5, 0x4}, {}, {0x1, 0x7}, {0x0, 0x3}, {0x0, 0x9}, {0x0, 0x7}, {0x6, 0x2}, {0x5, 0x2}, {0x4, 0x7}, {0x2, 0x7}, {0x1, 0x1}, {0x5, 0x9}, {0x7, 0x2}, {0x0, 0xa}]}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_LEGACY={0x20, 0x1, [0x60, 0x6, 0xb, 0xc, 0x30, 0x3, 0x16, 0x5, 0x9, 0x18, 0x3, 0x48, 0x9, 0x1, 0x60, 0xc, 0x9, 0x2d, 0x2, 0x4, 0xc, 0x16, 0x6c, 0x30, 0xb, 0x60, 0x6, 0x5]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}]}]}]}, 0x1070}, 0x1, 0x0, 0x0, 0x20000080}, 0x30000014) write$binfmt_script(r8, &(0x7f0000001cc0)={'#! ', './file0', [{0x20, 'TIPC\x00'}], 0xa, "cfd72e9c732708e362ad2162960a4bfeeecedb00594f933df7fd32f4e591b2c1bdeeb11beb51ac1c386b4a3760039f716ffbb95757e7197336060f8a8d9ce9cea7766ad106de8bdb3c930eeee48a136cad746f5d22f723de2434255edb89b18e8f41f2"}, 0x74) sendmsg$NL80211_CMD_REQ_SET_REG(r7, &(0x7f0000001e00)={&(0x7f0000001d40)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000001dc0)={&(0x7f0000001d80)={0x24, r1, 0x400, 0x70bd27, 0x25dfdbff, {}, [@NL80211_ATTR_WIPHY={0x8, 0x1, 0x46}, @NL80211_ATTR_USER_REG_HINT_TYPE={0x8}]}, 0x24}, 0x1, 0x0, 0x0, 0x1}, 0x8000) [ 74.265773] Bluetooth: hci1: unexpected cc 0x0c03 length: 249 > 1 [ 74.271241] Bluetooth: hci1: unexpected cc 0x1003 length: 249 > 9 [ 74.273185] Bluetooth: hci1: unexpected cc 0x1001 length: 249 > 9 [ 74.274496] Bluetooth: hci0: unexpected cc 0x0c03 length: 249 > 1 [ 74.276936] Bluetooth: hci0: unexpected cc 0x1003 length: 249 > 9 [ 74.280192] Bluetooth: hci1: unexpected cc 0x0c23 length: 249 > 4 [ 74.281289] Bluetooth: hci0: unexpected cc 0x1001 length: 249 > 9 [ 74.284946] Bluetooth: hci1: unexpected cc 0x0c25 length: 249 > 3 [ 74.286672] Bluetooth: hci1: unexpected cc 0x0c38 length: 249 > 2 [ 74.292894] Bluetooth: hci1: HCI_REQ-0x0c1a [ 74.294730] Bluetooth: hci0: unexpected cc 0x0c23 length: 249 > 4 [ 74.311864] Bluetooth: hci0: unexpected cc 0x0c25 length: 249 > 3 [ 74.317345] Bluetooth: hci0: unexpected cc 0x0c38 length: 249 > 2 [ 74.330929] Bluetooth: hci0: HCI_REQ-0x0c1a [ 74.340031] Bluetooth: hci2: unexpected cc 0x0c03 length: 249 > 1 [ 74.349390] Bluetooth: hci3: unexpected cc 0x0c03 length: 249 > 1 [ 74.356046] Bluetooth: hci3: unexpected cc 0x1003 length: 249 > 9 [ 74.357734] Bluetooth: hci2: unexpected cc 0x1003 length: 249 > 9 [ 74.358622] Bluetooth: hci3: unexpected cc 0x1001 length: 249 > 9 [ 74.377997] Bluetooth: hci2: unexpected cc 0x1001 length: 249 > 9 [ 74.387249] Bluetooth: hci2: unexpected cc 0x0c23 length: 249 > 4 [ 74.388685] Bluetooth: hci3: unexpected cc 0x0c23 length: 249 > 4 [ 74.390849] Bluetooth: hci2: unexpected cc 0x0c25 length: 249 > 3 [ 74.392192] Bluetooth: hci3: unexpected cc 0x0c25 length: 249 > 3 [ 74.393588] Bluetooth: hci2: unexpected cc 0x0c38 length: 249 > 2 [ 74.395976] Bluetooth: hci3: unexpected cc 0x0c38 length: 249 > 2 [ 74.401906] Bluetooth: hci2: HCI_REQ-0x0c1a [ 74.417910] Bluetooth: hci3: HCI_REQ-0x0c1a [ 74.457275] Bluetooth: hci7: unexpected cc 0x0c03 length: 249 > 1 [ 74.474016] Bluetooth: hci7: unexpected cc 0x1003 length: 249 > 9 [ 74.488829] Bluetooth: hci7: unexpected cc 0x1001 length: 249 > 9 [ 74.491547] Bluetooth: hci7: unexpected cc 0x0c23 length: 249 > 4 [ 74.493498] Bluetooth: hci7: unexpected cc 0x0c25 length: 249 > 3 [ 74.494921] Bluetooth: hci7: unexpected cc 0x0c38 length: 249 > 2 [ 74.499452] Bluetooth: hci7: HCI_REQ-0x0c1a [ 74.499627] Bluetooth: hci6: unexpected cc 0x0c03 length: 249 > 1 [ 74.540296] Bluetooth: hci6: unexpected cc 0x1003 length: 249 > 9 [ 74.543725] Bluetooth: hci6: unexpected cc 0x1001 length: 249 > 9 [ 74.561090] Bluetooth: hci6: unexpected cc 0x0c23 length: 249 > 4 [ 74.588060] Bluetooth: hci6: unexpected cc 0x0c25 length: 249 > 3 [ 74.600932] Bluetooth: hci6: unexpected cc 0x0c38 length: 249 > 2 [ 74.628890] Bluetooth: hci6: HCI_REQ-0x0c1a [ 76.344923] Bluetooth: hci4: Opcode 0x c03 failed: -110 [ 76.345513] Bluetooth: hci0: command 0x0409 tx timeout [ 76.346875] Bluetooth: hci1: command 0x0409 tx timeout [ 76.408845] Bluetooth: hci2: command 0x0409 tx timeout [ 76.409432] Bluetooth: hci5: Opcode 0x c03 failed: -110 [ 76.472873] Bluetooth: hci3: command 0x0409 tx timeout [ 76.536924] Bluetooth: hci7: command 0x0409 tx timeout [ 76.665416] Bluetooth: hci6: command 0x0409 tx timeout [ 78.392862] Bluetooth: hci1: command 0x041b tx timeout [ 78.393984] Bluetooth: hci0: command 0x041b tx timeout [ 78.456906] Bluetooth: hci2: command 0x041b tx timeout [ 78.520911] Bluetooth: hci3: command 0x041b tx timeout [ 78.584962] Bluetooth: hci7: command 0x041b tx timeout [ 78.712952] Bluetooth: hci6: command 0x041b tx timeout [ 79.353259] Bluetooth: hci4: unexpected cc 0x0c03 length: 249 > 1 [ 79.354629] Bluetooth: hci4: unexpected cc 0x1003 length: 249 > 9 [ 79.357096] Bluetooth: hci4: unexpected cc 0x1001 length: 249 > 9 [ 79.365993] Bluetooth: hci4: unexpected cc 0x0c23 length: 249 > 4 [ 79.367749] Bluetooth: hci4: unexpected cc 0x0c25 length: 249 > 3 [ 79.370735] Bluetooth: hci4: unexpected cc 0x0c38 length: 249 > 2 [ 79.382932] Bluetooth: hci4: HCI_REQ-0x0c1a [ 80.440866] Bluetooth: hci0: command 0x040f tx timeout [ 80.441335] Bluetooth: hci1: command 0x040f tx timeout [ 80.504834] Bluetooth: hci2: command 0x040f tx timeout [ 80.568884] Bluetooth: hci3: command 0x040f tx timeout [ 80.632877] Bluetooth: hci7: command 0x040f tx timeout [ 80.760861] Bluetooth: hci6: command 0x040f tx timeout [ 81.464863] Bluetooth: hci5: Opcode 0x c03 failed: -110 [ 81.465943] Bluetooth: hci4: command 0x0409 tx timeout [ 82.488841] Bluetooth: hci1: command 0x0419 tx timeout [ 82.489304] Bluetooth: hci0: command 0x0419 tx timeout [ 82.552843] Bluetooth: hci2: command 0x0419 tx timeout [ 82.616840] Bluetooth: hci3: command 0x0419 tx timeout [ 82.680860] Bluetooth: hci7: command 0x0419 tx timeout [ 82.808866] Bluetooth: hci6: command 0x0419 tx timeout [ 83.512940] Bluetooth: hci4: command 0x041b tx timeout [ 84.223595] Bluetooth: hci5: unexpected cc 0x0c03 length: 249 > 1 [ 84.224907] Bluetooth: hci5: unexpected cc 0x1003 length: 249 > 9 [ 84.225843] Bluetooth: hci5: unexpected cc 0x1001 length: 249 > 9 [ 84.227870] Bluetooth: hci5: unexpected cc 0x0c23 length: 249 > 4 [ 84.229212] Bluetooth: hci5: unexpected cc 0x0c25 length: 249 > 3 [ 84.230361] Bluetooth: hci5: unexpected cc 0x0c38 length: 249 > 2 [ 84.233492] Bluetooth: hci5: HCI_REQ-0x0c1a [ 85.560844] Bluetooth: hci4: command 0x040f tx timeout [ 86.264896] Bluetooth: hci5: command 0x0409 tx timeout [ 87.608844] Bluetooth: hci4: command 0x0419 tx timeout [ 88.312848] Bluetooth: hci5: command 0x041b tx timeout [ 90.360980] Bluetooth: hci5: command 0x040f tx timeout [ 92.408980] Bluetooth: hci5: command 0x0419 tx timeout [ 130.477461] audit: type=1400 audit(1664734077.130:7): avc: denied { open } for pid=3646 comm="syz-executor.3" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=perf_event permissive=1 [ 130.482928] audit: type=1400 audit(1664734077.131:8): avc: denied { kernel } for pid=3646 comm="syz-executor.3" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=perf_event permissive=1 18:07:57 executing program 3: r0 = syz_mount_image$vfat(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x800, 0x0, &(0x7f0000000200), 0x0, &(0x7f0000010f00)=ANY=[]) openat(r0, &(0x7f0000000040)='./file0\x00', 0x482040, 0x191) [ 130.760394] loop3: detected capacity change from 0 to 4 [ 130.797043] FAT-fs (loop3): bogus number of reserved sectors [ 130.798108] FAT-fs (loop3): Can't find a valid FAT filesystem [ 130.830124] loop3: detected capacity change from 0 to 4 [ 130.846092] FAT-fs (loop3): bogus number of reserved sectors [ 130.846974] FAT-fs (loop3): Can't find a valid FAT filesystem 18:07:57 executing program 3: r0 = semget(0x0, 0x0, 0x0) r1 = semget$private(0x0, 0x4, 0x0) semtimedop(r1, &(0x7f0000000000)=[{0x0, 0x0, 0x1000}], 0x1, 0x0) semctl$IPC_RMID(r1, 0x0, 0x10) semctl$SEM_INFO(r1, 0x4, 0x13, &(0x7f00000000c0)=""/233) r2 = semget(0x3, 0x4, 0x244) r3 = semget$private(0x0, 0x4, 0x0) r4 = semget(0x3, 0x3, 0x201) semctl$SEM_INFO(r4, 0x4, 0x13, &(0x7f0000000380)=""/254) semtimedop(r1, &(0x7f00000001c0)=[{0x3, 0x6, 0x800}, {0x3, 0xb4}], 0x2, &(0x7f0000000480)) semtimedop(r3, &(0x7f0000000000)=[{0x3, 0x0, 0x1000}], 0x1, 0x0) semctl$IPC_RMID(r3, 0x0, 0x10) clock_gettime(0x0, &(0x7f0000000200)={0x0, 0x0}) semtimedop(r0, &(0x7f0000000340)=[{0x3, 0x25a, 0x800}, {0x4, 0x20, 0x1800}, {0x3, 0xd9c0, 0x1000}, {0x2, 0xf4a5, 0x1000}, {0x3, 0xff, 0x1800}, {0x3, 0x6}, {0x2, 0xff5a}, {0x4, 0x1, 0x1800}, {0x3, 0x1, 0xc00}], 0x9, &(0x7f0000000240)={r5, r6+10000000}) semctl$IPC_STAT(r2, 0x0, 0x2, &(0x7f0000000000)=""/91) semctl$SEM_INFO(0xffffffffffffffff, 0x4, 0x13, &(0x7f0000000080)=""/5) semtimedop(0x0, &(0x7f0000000000)=[{0x4, 0x0, 0x1000}], 0x1, 0x0) semctl$IPC_RMID(0x0, 0x0, 0x10) semctl$GETZCNT(0x0, 0x4, 0xf, &(0x7f00000004c0)=""/21) semctl$GETPID(r1, 0x2, 0xb, &(0x7f0000000280)=""/156) 18:07:57 executing program 3: r0 = syz_open_dev$loop(&(0x7f0000000140), 0x0, 0x0) perf_event_open(&(0x7f0000000080)={0x2, 0x80, 0xc2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ioctl$F2FS_IOC_MOVE_RANGE(r0, 0xc020f509, &(0x7f0000000380)={r0, 0x8, 0x1, 0x400}) ioctl$PERF_EVENT_IOC_ID(r1, 0x80082407, &(0x7f00000003c0)) perf_event_open(&(0x7f0000000280)={0x1, 0x80, 0x2, 0x0, 0x0, 0x0, 0x0, 0x2, 0x32261, 0xc, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext={0x0, 0x5}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r2 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$BTRFS_IOC_SPACE_INFO(r2, 0xc0109414, &(0x7f00000018c0)=ANY=[@ANYBLOB="8b0e00000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003cf1c288034ec13c00"/89368]) r3 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) move_mount(0xffffffffffffffff, &(0x7f00000007c0)='./file0\x00', 0xffffffffffffffff, &(0x7f0000000800)='./file0\x00', 0x0) ioctl$TIOCL_BLANKSCREEN(0xffffffffffffffff, 0x541c, &(0x7f0000000040)) perf_event_open(&(0x7f0000001840)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={&(0x7f0000001800), 0xd}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x3) write(r3, &(0x7f0000000080)="01", 0x292e9) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r0, 0x81f8943c, &(0x7f0000000400)={0x0}) r5 = fsopen(&(0x7f0000000080)='hugetlbfs\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r5, 0x6, 0x0, 0x0, 0x0) r6 = fsmount(r5, 0x0, 0x0) mknodat$null(r6, &(0x7f0000000000)='./file0\x00', 0x0, 0x103) move_mount(0xffffffffffffffff, &(0x7f00000007c0)='./file0\x00', r6, &(0x7f0000000800)='./file0\x00', 0x77) openat(r6, &(0x7f0000000000)='./file1\x00', 0x400280, 0xd) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r1, 0xd000943e, &(0x7f0000000600)={0x0, r4, "48c86e8a262209d0cdebfa9325e7a62f8a805df1b900e65c6b3b10d42152309a46651102b4a26652c8206fc5464132a56d9b5e27b1243a07f06030605040640845916df9d0deef3514fd0df2161d7703a676b143cef76b40231821f02ccd7bc30191c25897ac0d54c5a9f27c5f11798de76b6e7709fbbe967c52d793e615ba8e43d41356cf679ae91b63b58bd8769f43e43dfeafe613767dd2c709c3dfbcbc7226b0054e81973990d002aeead72641fc7194972276c8dbb7cbc5a31312b23d858a6ce44e51358b54d7ecd3650e793cd13df67c966ea9a18c2c9d5aaefebadc1b359318397cca42dabfbcddfdbeec3b84b39e3727ad53ab5233c3a3fbf21be216", "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"}) [ 131.457684] ------------[ cut here ]------------ [ 131.457719] [ 131.457725] ====================================================== [ 131.457731] WARNING: possible circular locking dependency detected [ 131.457738] 6.0.0-rc7-next-20220930 #1 Not tainted [ 131.457750] ------------------------------------------------------ [ 131.457756] syz-executor.3/3689 is trying to acquire lock: [ 131.457767] ffffffff853faab8 ((console_sem).lock){....}-{2:2}, at: down_trylock+0xe/0x70 [ 131.457839] [ 131.457839] but task is already holding lock: [ 131.457844] ffff88801b965020 (&ctx->lock){....}-{2:2}, at: __perf_event_task_sched_out+0x53b/0x18d0 [ 131.457891] [ 131.457891] which lock already depends on the new lock. [ 131.457891] [ 131.457897] [ 131.457897] the existing dependency chain (in reverse order) is: [ 131.457902] [ 131.457902] -> #3 (&ctx->lock){....}-{2:2}: [ 131.457927] _raw_spin_lock+0x2a/0x40 [ 131.457947] __perf_event_task_sched_out+0x53b/0x18d0 [ 131.457968] __schedule+0xedd/0x2470 [ 131.457993] schedule+0xda/0x1b0 [ 131.458017] exit_to_user_mode_prepare+0x114/0x1a0 [ 131.458039] syscall_exit_to_user_mode+0x19/0x40 [ 131.458062] do_syscall_64+0x48/0x90 [ 131.458094] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 131.458117] [ 131.458117] -> #2 (&rq->__lock){-.-.}-{2:2}: [ 131.458142] _raw_spin_lock_nested+0x30/0x40 [ 131.458161] raw_spin_rq_lock_nested+0x1e/0x30 [ 131.458184] task_fork_fair+0x63/0x4d0 [ 131.458215] sched_cgroup_fork+0x3d0/0x540 [ 131.458240] copy_process+0x4183/0x6e20 [ 131.458259] kernel_clone+0xe7/0x890 [ 131.458277] user_mode_thread+0xad/0xf0 [ 131.458295] rest_init+0x24/0x250 [ 131.458317] arch_call_rest_init+0xf/0x14 [ 131.458347] start_kernel+0x4c6/0x4eb [ 131.458376] secondary_startup_64_no_verify+0xe0/0xeb [ 131.458401] [ 131.458401] -> #1 (&p->pi_lock){-.-.}-{2:2}: [ 131.458426] _raw_spin_lock_irqsave+0x39/0x60 [ 131.458445] try_to_wake_up+0xab/0x1930 [ 131.458469] up+0x75/0xb0 [ 131.458495] __up_console_sem+0x6e/0x80 [ 131.458524] console_unlock+0x46a/0x590 [ 131.458553] do_con_write+0xc05/0x1d50 [ 131.458572] con_write+0x21/0x40 [ 131.458588] n_tty_write+0x4d4/0xfe0 [ 131.458610] file_tty_write.constprop.0+0x455/0x8a0 [ 131.458631] vfs_write+0x9c3/0xd90 [ 131.458661] ksys_write+0x127/0x250 [ 131.458689] do_syscall_64+0x3b/0x90 [ 131.458719] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 131.458742] [ 131.458742] -> #0 ((console_sem).lock){....}-{2:2}: [ 131.458767] __lock_acquire+0x2a02/0x5e70 [ 131.458798] lock_acquire+0x1a2/0x530 [ 131.458827] _raw_spin_lock_irqsave+0x39/0x60 [ 131.458847] down_trylock+0xe/0x70 [ 131.458874] __down_trylock_console_sem+0x3b/0xd0 [ 131.458904] vprintk_emit+0x16b/0x560 [ 131.458933] vprintk+0x84/0xa0 [ 131.458962] _printk+0xba/0xf1 [ 131.458982] report_bug.cold+0x72/0xab [ 131.459011] handle_bug+0x3c/0x70 [ 131.459041] exc_invalid_op+0x14/0x50 [ 131.459072] asm_exc_invalid_op+0x16/0x20 [ 131.459094] group_sched_out.part.0+0x2c7/0x460 [ 131.459127] ctx_sched_out+0x8f1/0xc10 [ 131.459157] __perf_event_task_sched_out+0x6d0/0x18d0 [ 131.459178] __schedule+0xedd/0x2470 [ 131.459202] schedule+0xda/0x1b0 [ 131.459227] exit_to_user_mode_prepare+0x114/0x1a0 [ 131.459246] syscall_exit_to_user_mode+0x19/0x40 [ 131.459269] do_syscall_64+0x48/0x90 [ 131.459300] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 131.459323] [ 131.459323] other info that might help us debug this: [ 131.459323] [ 131.459327] Chain exists of: [ 131.459327] (console_sem).lock --> &rq->__lock --> &ctx->lock [ 131.459327] [ 131.459354] Possible unsafe locking scenario: [ 131.459354] [ 131.459359] CPU0 CPU1 [ 131.459363] ---- ---- [ 131.459367] lock(&ctx->lock); [ 131.459377] lock(&rq->__lock); [ 131.459389] lock(&ctx->lock); [ 131.459401] lock((console_sem).lock); [ 131.459411] [ 131.459411] *** DEADLOCK *** [ 131.459411] [ 131.459415] 2 locks held by syz-executor.3/3689: [ 131.459427] #0: ffff88806ce37e98 (&rq->__lock){-.-.}-{2:2}, at: __schedule+0x1cf/0x2470 [ 131.459481] #1: ffff88801b965020 (&ctx->lock){....}-{2:2}, at: __perf_event_task_sched_out+0x53b/0x18d0 [ 131.459529] [ 131.459529] stack backtrace: [ 131.459534] CPU: 0 PID: 3689 Comm: syz-executor.3 Not tainted 6.0.0-rc7-next-20220930 #1 [ 131.459556] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 131.459571] Call Trace: [ 131.459576] [ 131.459583] dump_stack_lvl+0x8b/0xb3 [ 131.459617] check_noncircular+0x263/0x2e0 [ 131.459647] ? format_decode+0x26c/0xb50 [ 131.459676] ? print_circular_bug+0x450/0x450 [ 131.459707] ? simple_strtoul+0x30/0x30 [ 131.459736] ? format_decode+0x26c/0xb50 [ 131.459768] ? alloc_chain_hlocks+0x1ec/0x5a0 [ 131.459810] __lock_acquire+0x2a02/0x5e70 [ 131.459850] ? lockdep_hardirqs_on_prepare+0x410/0x410 [ 131.459891] lock_acquire+0x1a2/0x530 [ 131.459922] ? down_trylock+0xe/0x70 [ 131.459953] ? lock_release+0x750/0x750 [ 131.459990] ? vprintk+0x84/0xa0 [ 131.460023] _raw_spin_lock_irqsave+0x39/0x60 [ 131.460044] ? down_trylock+0xe/0x70 [ 131.460073] down_trylock+0xe/0x70 [ 131.460102] ? vprintk+0x84/0xa0 [ 131.460133] __down_trylock_console_sem+0x3b/0xd0 [ 131.460165] vprintk_emit+0x16b/0x560 [ 131.460199] vprintk+0x84/0xa0 [ 131.460231] _printk+0xba/0xf1 [ 131.460252] ? record_print_text.cold+0x16/0x16 [ 131.460282] ? report_bug.cold+0x66/0xab [ 131.460314] ? group_sched_out.part.0+0x2c7/0x460 [ 131.460348] report_bug.cold+0x72/0xab [ 131.460382] handle_bug+0x3c/0x70 [ 131.460414] exc_invalid_op+0x14/0x50 [ 131.460450] asm_exc_invalid_op+0x16/0x20 [ 131.460475] RIP: 0010:group_sched_out.part.0+0x2c7/0x460 [ 131.460512] Code: 5e 41 5f e9 8b ae ef ff e8 86 ae ef ff 65 8b 1d 0b 18 ac 7e 31 ff 89 de e8 26 ab ef ff 85 db 0f 84 8a 00 00 00 e8 69 ae ef ff <0f> 0b e9 a5 fe ff ff e8 5d ae ef ff 48 8d 7d 10 48 b8 00 00 00 00 [ 131.460533] RSP: 0018:ffff88804004fc48 EFLAGS: 00010006 [ 131.460549] RAX: 0000000040000002 RBX: 0000000000000000 RCX: 0000000000000000 [ 131.460563] RDX: ffff8880183ab580 RSI: ffffffff81565dc7 RDI: 0000000000000005 [ 131.460577] RBP: ffff888008660000 R08: 0000000000000005 R09: 0000000000000001 [ 131.460591] R10: 0000000000000000 R11: ffffffff865b401b R12: ffff88801b965000 [ 131.460605] R13: ffff88806ce3d2c0 R14: ffffffff8547d000 R15: 0000000000000002 [ 131.460625] ? group_sched_out.part.0+0x2c7/0x460 [ 131.460662] ? group_sched_out.part.0+0x2c7/0x460 [ 131.460698] ctx_sched_out+0x8f1/0xc10 [ 131.460734] __perf_event_task_sched_out+0x6d0/0x18d0 [ 131.460760] ? lock_is_held_type+0xd7/0x130 [ 131.460785] ? __perf_cgroup_move+0x160/0x160 [ 131.460805] ? set_next_entity+0x304/0x550 [ 131.460837] ? update_curr+0x267/0x740 [ 131.460871] ? lock_is_held_type+0xd7/0x130 [ 131.460897] __schedule+0xedd/0x2470 [ 131.460928] ? io_schedule_timeout+0x150/0x150 [ 131.460959] ? rcu_read_lock_sched_held+0x3e/0x80 [ 131.460996] schedule+0xda/0x1b0 [ 131.461024] exit_to_user_mode_prepare+0x114/0x1a0 [ 131.461046] syscall_exit_to_user_mode+0x19/0x40 [ 131.461071] do_syscall_64+0x48/0x90 [ 131.461104] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 131.461128] RIP: 0033:0x7f8d4901eb19 [ 131.461143] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 131.461163] RSP: 002b:00007f8d46594218 EFLAGS: 00000246 ORIG_RAX: 00000000000000ca [ 131.461182] RAX: 0000000000000001 RBX: 00007f8d49131f68 RCX: 00007f8d4901eb19 [ 131.461196] RDX: 00000000000f4240 RSI: 0000000000000081 RDI: 00007f8d49131f6c [ 131.461209] RBP: 00007f8d49131f60 R08: 000000000000000e R09: 0000000000000000 [ 131.461222] R10: 0000000000000004 R11: 0000000000000246 R12: 00007f8d49131f6c [ 131.461236] R13: 00007ffc8212ba5f R14: 00007f8d46594300 R15: 0000000000022000 [ 131.461259] [ 131.561202] WARNING: CPU: 0 PID: 3689 at kernel/events/core.c:2309 group_sched_out.part.0+0x2c7/0x460 [ 131.562383] Modules linked in: [ 131.562801] CPU: 0 PID: 3689 Comm: syz-executor.3 Not tainted 6.0.0-rc7-next-20220930 #1 [ 131.563853] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 131.565307] RIP: 0010:group_sched_out.part.0+0x2c7/0x460 [ 131.566024] Code: 5e 41 5f e9 8b ae ef ff e8 86 ae ef ff 65 8b 1d 0b 18 ac 7e 31 ff 89 de e8 26 ab ef ff 85 db 0f 84 8a 00 00 00 e8 69 ae ef ff <0f> 0b e9 a5 fe ff ff e8 5d ae ef ff 48 8d 7d 10 48 b8 00 00 00 00 [ 131.568363] RSP: 0018:ffff88804004fc48 EFLAGS: 00010006 [ 131.569071] RAX: 0000000040000002 RBX: 0000000000000000 RCX: 0000000000000000 [ 131.570023] RDX: ffff8880183ab580 RSI: ffffffff81565dc7 RDI: 0000000000000005 [ 131.570983] RBP: ffff888008660000 R08: 0000000000000005 R09: 0000000000000001 [ 131.571932] R10: 0000000000000000 R11: ffffffff865b401b R12: ffff88801b965000 [ 131.572879] R13: ffff88806ce3d2c0 R14: ffffffff8547d000 R15: 0000000000000002 [ 131.573803] FS: 00007f8d46594700(0000) GS:ffff88806ce00000(0000) knlGS:0000000000000000 [ 131.574834] CS: 0010 DS: 0000 ES: 0000 CR0: 0000000080050033 [ 131.575616] CR2: 00007f11e14e91f0 CR3: 000000003eea0000 CR4: 0000000000350ef0 [ 131.576582] Call Trace: [ 131.576931] [ 131.577241] ctx_sched_out+0x8f1/0xc10 [ 131.577792] __perf_event_task_sched_out+0x6d0/0x18d0 [ 131.578489] ? lock_is_held_type+0xd7/0x130 [ 131.579074] ? __perf_cgroup_move+0x160/0x160 [ 131.579671] ? set_next_entity+0x304/0x550 [ 131.580237] ? update_curr+0x267/0x740 [ 131.580764] ? lock_is_held_type+0xd7/0x130 [ 131.581337] __schedule+0xedd/0x2470 [ 131.581840] ? io_schedule_timeout+0x150/0x150 [ 131.582456] ? rcu_read_lock_sched_held+0x3e/0x80 [ 131.583096] schedule+0xda/0x1b0 [ 131.583561] exit_to_user_mode_prepare+0x114/0x1a0 [ 131.584219] syscall_exit_to_user_mode+0x19/0x40 [ 131.584832] do_syscall_64+0x48/0x90 [ 131.585327] entry_SYSCALL_64_after_hwframe+0x63/0xcd [ 131.585994] RIP: 0033:0x7f8d4901eb19 [ 131.586470] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 131.588801] RSP: 002b:00007f8d46594218 EFLAGS: 00000246 ORIG_RAX: 00000000000000ca [ 131.589779] RAX: 0000000000000001 RBX: 00007f8d49131f68 RCX: 00007f8d4901eb19 [ 131.590726] RDX: 00000000000f4240 RSI: 0000000000000081 RDI: 00007f8d49131f6c [ 131.591650] RBP: 00007f8d49131f60 R08: 000000000000000e R09: 0000000000000000 [ 131.592574] R10: 0000000000000004 R11: 0000000000000246 R12: 00007f8d49131f6c [ 131.593491] R13: 00007ffc8212ba5f R14: 00007f8d46594300 R15: 0000000000022000 [ 131.594411] [ 131.594719] irq event stamp: 438 [ 131.595155] hardirqs last enabled at (437): [] exit_to_user_mode_prepare+0x109/0x1a0 [ 131.596360] hardirqs last disabled at (438): [] __schedule+0x1225/0x2470 [ 131.597416] softirqs last enabled at (190): [] __irq_exit_rcu+0x11b/0x180 [ 131.598510] softirqs last disabled at (181): [] __irq_exit_rcu+0x11b/0x180 [ 131.599596] ---[ end trace 0000000000000000 ]--- 18:07:59 executing program 3: r0 = syz_open_dev$loop(&(0x7f0000000140), 0x0, 0x0) perf_event_open(&(0x7f0000000080)={0x2, 0x80, 0xc2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ioctl$F2FS_IOC_MOVE_RANGE(r0, 0xc020f509, &(0x7f0000000380)={r0, 0x8, 0x1, 0x400}) ioctl$PERF_EVENT_IOC_ID(r1, 0x80082407, &(0x7f00000003c0)) perf_event_open(&(0x7f0000000280)={0x1, 0x80, 0x2, 0x0, 0x0, 0x0, 0x0, 0x2, 0x32261, 0xc, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext={0x0, 0x5}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r2 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$BTRFS_IOC_SPACE_INFO(r2, 0xc0109414, &(0x7f00000018c0)=ANY=[@ANYBLOB="8b0e00000000000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003cf1c288034ec13c00"/89368]) r3 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) move_mount(0xffffffffffffffff, &(0x7f00000007c0)='./file0\x00', 0xffffffffffffffff, &(0x7f0000000800)='./file0\x00', 0x0) ioctl$TIOCL_BLANKSCREEN(0xffffffffffffffff, 0x541c, &(0x7f0000000040)) perf_event_open(&(0x7f0000001840)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={&(0x7f0000001800), 0xd}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x3) write(r3, &(0x7f0000000080)="01", 0x292e9) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r0, 0x81f8943c, &(0x7f0000000400)={0x0}) r5 = fsopen(&(0x7f0000000080)='hugetlbfs\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r5, 0x6, 0x0, 0x0, 0x0) r6 = fsmount(r5, 0x0, 0x0) mknodat$null(r6, &(0x7f0000000000)='./file0\x00', 0x0, 0x103) move_mount(0xffffffffffffffff, &(0x7f00000007c0)='./file0\x00', r6, &(0x7f0000000800)='./file0\x00', 0x77) openat(r6, &(0x7f0000000000)='./file1\x00', 0x400280, 0xd) ioctl$BTRFS_IOC_INO_LOOKUP_USER(r1, 0xd000943e, &(0x7f0000000600)={0x0, r4, "48c86e8a262209d0cdebfa9325e7a62f8a805df1b900e65c6b3b10d42152309a46651102b4a26652c8206fc5464132a56d9b5e27b1243a07f06030605040640845916df9d0deef3514fd0df2161d7703a676b143cef76b40231821f02ccd7bc30191c25897ac0d54c5a9f27c5f11798de76b6e7709fbbe967c52d793e615ba8e43d41356cf679ae91b63b58bd8769f43e43dfeafe613767dd2c709c3dfbcbc7226b0054e81973990d002aeead72641fc7194972276c8dbb7cbc5a31312b23d858a6ce44e51358b54d7ecd3650e793cd13df67c966ea9a18c2c9d5aaefebadc1b359318397cca42dabfbcddfdbeec3b84b39e3727ad53ab5233c3a3fbf21be216", "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"}) [ 132.535809] hrtimer: interrupt took 28654 ns 18:07:59 executing program 3: r0 = syz_open_dev$loop(&(0x7f0000000140), 0x0, 0x0) perf_event_open(&(0x7f0000000080)={0x2, 0x80, 0xc2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ioctl$F2FS_IOC_MOVE_RANGE(r0, 0xc020f509, &(0x7f0000000380)={r0, 0x8, 0x1, 0x400}) ioctl$PERF_EVENT_IOC_ID(r1, 0x80082407, &(0x7f00000003c0)) perf_event_open(&(0x7f0000000280)={0x1, 0x80, 0x2, 0x0, 0x0, 0x0, 0x0, 0x2, 0x32261, 0xc, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext={0x0, 0x5}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r2 = socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$BTRFS_IOC_SPACE_INFO(r2, 0xc0109414, &(0x7f00000018c0)=ANY=[@ANYBLOB="8b0e0000000000000800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 VM DIAGNOSIS: 18:07:58 Registers: info registers vcpu 0 RAX=0000000000000066 RBX=00000000000003f8 RCX=0000000000000000 RDX=00000000000003f8 RSI=ffffffff823bb0f1 RDI=ffffffff8765a9a0 RBP=ffffffff8765a960 RSP=ffff88804004f690 R8 =0000000000000001 R9 =000000000000000a R10=0000000000000066 R11=0000000000000001 R12=0000000000000066 R13=ffffffff8765a960 R14=0000000000000010 R15=ffffffff823bb0e0 RIP=ffffffff823bb149 RFL=00000002 [-------] CPL=0 II=0 A20=1 SMM=0 HLT=0 ES =0000 0000000000000000 00000000 00000000 CS =0010 0000000000000000 ffffffff 00a09b00 DPL=0 CS64 [-RA] SS =0018 0000000000000000 ffffffff 00c09300 DPL=0 DS [-WA] DS =0000 0000000000000000 00000000 00000000 FS =0000 00007f8d46594700 00000000 00000000 GS =0000 ffff88806ce00000 00000000 00000000 LDT=0000 fffffe0000000000 00000000 00000000 TR =0040 fffffe0000003000 00004087 00008b00 DPL=0 TSS64-busy GDT= fffffe0000001000 0000007f IDT= fffffe0000000000 00000fff CR0=80050033 CR2=00007f11e14e91f0 CR3=000000003eea0000 CR4=00350ef0 DR0=0000000000000000 DR1=0000000000000000 DR2=0000000000000000 DR3=0000000000000000 DR6=00000000ffff0ff0 DR7=0000000000000400 EFER=0000000000000d01 FCW=037f FSW=0000 [ST=0] FTW=00 MXCSR=00001f80 FPR0=0000000000000000 0000 FPR1=0000000000000000 0000 FPR2=0000000000000000 0000 FPR3=0000000000000000 0000 FPR4=0000000000000000 0000 FPR5=0000000000000000 0000 FPR6=0000000000000000 0000 FPR7=0000000000000000 0000 YMM00=0000000000000000 0000000000000000 ffffffffffff0000 0000000000000000 YMM01=0000000000000000 0000000000000000 2323232323232323 2323232323232323 YMM02=0000000000000000 0000000000000000 ffffffffffffffff ffffffffffffffff YMM03=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM04=0000000000000000 0000000000000000 ffffffffffff0000 0000000000000000 YMM05=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM06=0000000000000000 0000000000000000 0000000000000000 000000524f525245 YMM07=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM08=0000000000000000 0000000000000000 0000000000000000 00524f5252450040 YMM09=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM10=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM11=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM12=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM13=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM14=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM15=0000000000000000 0000000000000000 0000000000000000 0000000000000000 info registers vcpu 1 RAX=ffffffff816c513d RBX=ffffffff85bb2334 RCX=ffffffff816c532b RDX=0000000000000000 RSI=ffffffff85ed0c6a RDI=ffffffff85bb2334 RBP=ffffffff85bb2338 RSP=ffff88803fec7478 R8 =ffffffff85ed0c6a R9 =ffffffff85ed0a46 R10=ffffed1007fd8eb5 R11=000000000003603d R12=ffffffff85bb2334 R13=ffffffff85bb2334 R14=0000000000000000 R15=dffffc0000000000 RIP=ffffffff81119b97 RFL=00000246 [---Z-P-] CPL=0 II=0 A20=1 SMM=0 HLT=0 ES =0000 0000000000000000 00000000 00000000 CS =0010 0000000000000000 ffffffff 00a09b00 DPL=0 CS64 [-RA] SS =0018 0000000000000000 ffffffff 00c09300 DPL=0 DS [-WA] DS =0000 0000000000000000 00000000 00000000 FS =0000 0000000000000000 00000000 00000000 GS =0000 ffff88806cf00000 00000000 00000000 LDT=0000 fffffe0000000000 00000000 00000000 TR =0040 fffffe000004a000 00004087 00008b00 DPL=0 TSS64-busy GDT= fffffe0000048000 0000007f IDT= fffffe0000000000 00000fff CR0=80050033 CR2=00007f08e7cb6008 CR3=000000003a2d4000 CR4=00350ee0 DR0=0000000000000000 DR1=0000000000000000 DR2=0000000000000000 DR3=0000000000000000 DR6=00000000ffff0ff0 DR7=0000000000000400 EFER=0000000000000d01 FCW=037f FSW=0000 [ST=0] FTW=00 MXCSR=00001f80 FPR0=0000000000000000 0000 FPR1=0000000000000000 0000 FPR2=0000000000000000 0000 FPR3=0000000000000000 0000 FPR4=0000000000000000 0000 FPR5=0000000000000000 0000 FPR6=0000000000000000 0000 FPR7=0000000000000000 0000 YMM00=0000000000000000 0000000000000000 756e696c2d34365f 3638782f62696c2f YMM01=0000000000000000 0000000000000000 6461657268747062 696c2f756e672d78 YMM02=0000000000000000 0000000000000000 00302e6f732e6461 657268747062696c YMM03=0000000000000000 0000000000000000 2f756e672d78756e 696c2d34365f3638 YMM04=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM05=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM06=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM07=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM08=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM09=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM10=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM11=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM12=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM13=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM14=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM15=0000000000000000 0000000000000000 0000000000000000 0000000000000000