0000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}, {&(0x7f0000014c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x90800}, {&(0x7f0000015100)="02000200f000000001d7f0012201000000800000200000000080000030000000", 0x20, 0x91000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:14:42 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x130, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}]}, 0x130}}, 0x0) 10:14:42 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x130, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}]}, 0x130}}, 0x0) 10:14:59 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), 0x0, 0x4, 0x3e, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}, {&(0x7f0000014c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x90800}, {&(0x7f0000015100)="02000200f000000001d7f0012201000000800000200000000080000030000000", 0x20, 0x91000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:14:59 executing program 0: syz_mount_image$ext4(0x0, &(0x7f0000003b00)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) stat(&(0x7f0000000000)='./file0\x00', &(0x7f0000000280)={0x0, 0x0, 0x0, 0x0, 0x0}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) ioctl$EXT4_IOC_GET_ES_CACHE(r1, 0x40305839, &(0x7f0000000040)=ANY=[@ANYBLOB="0600000000fddda784adff1800000000000000b3"]) setresuid(0x0, r0, 0x0) write$P9_RMKDIR(r1, &(0x7f0000000080)={0x14, 0x49, 0x1, {0x10, 0x0, 0x3}}, 0xfffffe8e) 10:14:59 executing program 2: r0 = syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) inotify_add_watch(0xffffffffffffffff, &(0x7f0000000040)='./file0\x00', 0x44000002) msgctl$IPC_SET(0x0, 0x1, &(0x7f00000000c0)={{0x0, 0xee01, 0xee00, 0x0, 0x0, 0x83, 0x1}, 0x0, 0x0, 0x1f, 0x1, 0x1, 0x3, 0x10000, 0xc9d9, 0x66, 0x2}) stat(&(0x7f00000000c0)='./cgroup/cgroup.procs\x00', &(0x7f0000000180)={0x0, 0x0, 0x0, 0x0, 0x0}) setresuid(0x0, r1, 0x0) r2 = syz_mount_image$msdos(&(0x7f0000000a40), &(0x7f0000000a80)='./file0\x00', 0x1ff, 0x3, &(0x7f0000000d00)=[{&(0x7f0000000ac0)="457088168f3be628375bb14d45035e12aaa907d87c2ed36d86ad092fe4eb533671dd550a7bab8180cc33fa9ad60e34edaa5971d2923f8b08f8ff66d72a81ad9dfc4e04b4ebb093048b9419228d02d385581fef41d877763b7472da11a106e1a4aacc1a9d176b9daae47de0a23c03fc418f03fecf472ea157df22613aa0dc4be137ff6fe111e9b7241c89de1a7f0ec4c73a46abc70ecde1136b529a4ca0d56b8be35e8ed8f56d5f6a2799b51a9c10bffe62628c2ce7175df5dae02609a6311934a2ea630b74414ed26da39b4b6af2e50d7a", 0xd1, 0x6}, {&(0x7f0000000bc0)="9fb1120816ae58c7c01b7b116358662320a79cebfed20ec7f17f1505b8543c5f1159d64bfc522f4aa12fcd3dd3ca3a4179d96b2084ef19b9c0c5d03683dc3cc5da3ea5791ea389d230394610a9fd7f3c0c0cd3a3", 0x54, 0x90}, {&(0x7f0000000c40)="d104833345bf255bcd5ec18803469f866df29cb1dcc02a8feb69452808b98bb67164ff99caf14322f18cf0506b58e963e0e11fc495e5c0b91d1fb0f4814a0fe6d387f3bdc40400abdbb62ef53661989bc151a8e71072c6517d5c7d1f7f5ab24dcabb3166ab9fa432be77ee81c179336337a8740c51b2f557b7a002463ada3a1c8966f408d623fee15a872afd9d452a19e987090dff057e0fd15704144e68fce8a5e2082d0876", 0xa6, 0x1}], 0x400, &(0x7f0000000d80)={[{@dots}, {@dots}, {@fat=@quiet}, {@dots}, {@dots}, {@dots}, {@fat=@check_strict}], [{@euid_eq={'euid', 0x3d, 0xffffffffffffffff}}]}) r3 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r3, 0xffffffffffffffff, 0x0) sendmmsg$unix(0xffffffffffffffff, &(0x7f0000000f40)=[{{&(0x7f00000002c0)=@abs={0x1, 0x0, 0x4e22}, 0x6e, &(0x7f0000000600)=[{&(0x7f0000000340)="c834f6257c1c36ad4aa0e4d698d3f4db54a607e57213ffe4da9e83ae90c089e01a2c079988fc9e1a0b113a68db0cf4f2035119ed2097d08095e5945d7aa0de369bd1166da018a5de1e7e47628b79b136b297f3b6f9bfbd28bd73e9d3670f87a82e14ea72d0771e8373ce24b0bb5c271c5dd338dec1322f1a0d43", 0x7a}, {&(0x7f00000003c0)}, {&(0x7f0000000400)="abdbd7dbe258d7a142fdea306ab1a49f2aa1eeb892a53dbef1bc725d323312193bdf57589738c121aa3987b3c68d4568402a8e9e5cde26f3b4a418964544a87cfb0f58d60b41d65f05418f09b99d2ba7caf84a2b2407be40a7d1e6a9ee1fc4af02e276aca614194b52668214049124fcf3943a208c468790f8a6004f1474cb305c068ebbb033922b45982812c3ea86f8540cad1eac0b1b297e40afd731ae67f02b70b98053ede26ca3f2afb36256e7e96531f7a8b72ba2d654a33941351acfe86d590583945e00ebbd1c9987e0346dbb9bc08cc1f181171c959d5c0803829df7", 0xe0}, {&(0x7f0000000500)="c76113e26d75b5f4a117043ed44049e6d75158d73fc872887daf98733f147282628c740b07e8efd58760ee4a64b5518d048374c92292bff9d4b840cb954b058bf287dd69945ce94c79d7e43f95f2abdd293eb003f9ce9de8812e5c42b5a4a44f0cb3d6c4002c7ee8a516155f63491c57a0c51a72fd313580fdaff1dc76a4d5bd9ae2729b12bcebb49f5235955bc7b0aae2aa337f511426eabff4d5f1efca8fb690ae929e4851fba867ee391ae76e1f21800f446f0b18a3c1a1906ec295a76b2265c0c668dc871bce48f3c6", 0xcb}], 0x4, &(0x7f0000000e00)=[@cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff}}}, @rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee01}}}, @cred={{0x1c, 0x1, 0x2, {0xffffffffffffffff}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff}}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0x0, 0xee00}}}, @cred={{0x1c}}, @cred={{0x1c, 0x1, 0x2, {0x0, r1}}}, @rights={{0x20, 0x1, 0x1, [r0, r0, r2, r3]}}], 0x118, 0x4008090}}], 0x1, 0x80) r4 = syz_init_net_socket$802154_dgram(0x24, 0x2, 0x0) io_setup(0x9, &(0x7f0000000140)=0x0) ioctl$FS_IOC_FSGETXATTR(r4, 0x801c581f, &(0x7f0000000000)={0x8, 0x5, 0x9, 0x1000, 0x101}) io_submit(r5, 0x1, &(0x7f0000001340)=[&(0x7f00000011c0)={0x0, 0x0, 0x0, 0x0, 0x0, r4, 0x0}]) io_setup(0x7, &(0x7f00000001c0)=0x0) r7 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r7, 0xffffffffffffffff, 0x0) io_cancel(r6, &(0x7f0000000240)={0x0, 0x0, 0x0, 0x6, 0x2a, r0, &(0x7f0000000200), 0x0, 0x3ff, 0x0, 0x2, r7}, &(0x7f0000000280)) io_pgetevents(r5, 0x0, 0xa9, 0x0, 0x0, &(0x7f00000000c0)={0x0, 0x2e98059e16f4fc9b}) mount(0x0, &(0x7f0000000180)='./file0\x00', &(0x7f0000000080)='cgroup2\x00', 0x0, 0x0) mount(0x0, &(0x7f0000001840)='./file0\x00', &(0x7f0000001880)='cgroup2\x00', 0x0, 0x0) 10:14:59 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x130, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}]}, 0x130}}, 0x0) 10:14:59 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x130, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}]}, 0x130}}, 0x0) 10:14:59 executing program 7: r0 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) r1 = socket$packet(0x11, 0x3, 0x300) r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) ioctl$sock_SIOCSIFBR(r2, 0x8941, &(0x7f0000000000)=@add_del={0x2, &(0x7f00000000c0)='team_slave_0\x00'}) ioctl$sock_SIOCGIFINDEX(r0, 0x8933, &(0x7f0000000040)={'vlan0\x00'}) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000640)={'geneve0\x00', 0x0}) ioctl$sock_inet6_SIOCSIFDSTADDR(r0, 0x8918, &(0x7f0000000080)={@rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', 0x1b, r3}) bind$packet(r1, &(0x7f0000000280)={0x11, 0x1f, r3, 0x1, 0x9, 0x6, @random="8ed9aafc9b81"}, 0x14) setsockopt$inet6_tcp_TCP_MD5SIG(0xffffffffffffffff, 0x6, 0xe, &(0x7f0000000140)={@in={{0x2, 0x4e22, @initdev={0xac, 0x1e, 0x0, 0x0}}}, 0x0, 0x0, 0x1d, 0x0, "7636d0e89c40fb54e438343ea7fd57855caa03bbfe79568c09911e32524771bd5a710a6598a2b7e790740d619d6fc19d94ed23c56b93db2e3380726af705c2242a6ffc5d8e4b0a444f83d473cde70e55"}, 0xd8) r4 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r4, 0xffffffffffffffff, 0x0) ioctl$sock_SIOCSIFVLAN_SET_VLAN_FLAG_CMD(r4, 0x8983, &(0x7f0000000240)={0x7, 'wlan0\x00', {0xffffffff}, 0x6}) 10:14:59 executing program 6: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x130, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}]}, 0x130}}, 0x0) 10:14:59 executing program 1: r0 = syz_io_uring_complete(0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r2 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000340), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_LINKMODES_GET(r0, &(0x7f0000000100)={&(0x7f0000000000), 0xc, &(0x7f00000000c0)={&(0x7f0000000080)={0x14, r2, 0x0, 0x70bd2b, 0x25dfdbfc}, 0x14}, 0x1, 0x0, 0x0, 0x40000000}, 0x0) wait4(0xffffffffffffffff, &(0x7f0000000140), 0x0, 0x0) syz_genetlink_get_family_id$nl80211(0x0, 0xffffffffffffffff) sendmsg$NL80211_CMD_STOP_SCHED_SCAN(0xffffffffffffffff, 0x0, 0x0) ioctl$sock_FIOGETOWN(0xffffffffffffffff, 0x8903, 0x0) prlimit64(0x0, 0x0, 0x0, 0x0) fcntl$dupfd(r0, 0x0, r0) r3 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r3, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r5 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(0xffffffffffffffff, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r5, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r4}, @void}}}, 0x1c}}, 0x0) [ 1132.639140] loop4: detected capacity change from 0 to 2320 10:14:59 executing program 6: syz_mount_image$ext4(0x0, &(0x7f0000003b00)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) stat(&(0x7f0000000000)='./file0\x00', &(0x7f0000000280)={0x0, 0x0, 0x0, 0x0, 0x0}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) ioctl$EXT4_IOC_GET_ES_CACHE(r1, 0x40305839, &(0x7f0000000040)=ANY=[@ANYBLOB="0600000000fddda784adff1800000000000000b3"]) setresuid(0x0, r0, 0x0) write$P9_RMKDIR(r1, &(0x7f0000000080)={0x14, 0x49, 0x1, {0x10, 0x0, 0x3}}, 0xfffffe8e) 10:14:59 executing program 2: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x4040, 0x0) r1 = syz_mount_image$nfs(&(0x7f0000000040), &(0x7f0000000000)='./file1/file0\x00', 0x0, 0x2, &(0x7f0000000140)=[{&(0x7f0000000300)="937889c29c508bd0cd30a7be91ca68f0cb99aa834cdea882f5eea0f9e6c7f39ce1fb46aa936bfc705d354e51f32ee06ec3d7f62eeb1266dc6cf83c13e8b6d65cb39f195de6e3eb5b5e7c7b27b901e9801352bf3dcbb5e89ad818ac8131105d771f00a21ba70dbc2bee8ebda7c3a33d6702265ad5c1f24356b269aa0cfc9b491ed394e2bc46f924bd8549f061b6e950654a9a2f964b8548c78f9a121a69800f8a59c66c584c4169a8595026fc3264b06ae9e461b1", 0xb4, 0x80000001}, {&(0x7f0000000080)="3de5d0021265fa987d2481c775df57530e7210c91f1bc80384388f048102a1fe21c819201462f87584806db179c8aa3f415cdfbdb6959f59ce4f3a9ab54234e223cb339a8bca6692de9784ca41160a359ed8e35537d9bc524a698e2020df455231809a6bbb5ac247e3b53a5d96efa26f047763f9607095f28d", 0x79, 0x4}], 0x104a0, &(0x7f0000000180)=ANY=[@ANYRESDEC=r0, @ANYRES32, @ANYRES32, @ANYRESOCT=r0]) finit_module(r1, &(0x7f0000000200)='nfs\x00', 0x2) quotactl(0x0, &(0x7f00000001c0)='./file1\x00', 0xee01, 0x0) 10:14:59 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), 0x0, 0x4, 0x3e, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}, {&(0x7f0000014c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x90800}, {&(0x7f0000015100)="02000200f000000001d7f0012201000000800000200000000080000030000000", 0x20, 0x91000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:14:59 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private=0xa010101, 0x4d2, 0x32}, 0x2, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) [ 1132.757404] loop4: detected capacity change from 0 to 2320 10:14:59 executing program 3: seccomp$SECCOMP_SET_MODE_FILTER_LISTENER(0x1, 0x0, &(0x7f0000000080)={0x1, &(0x7f0000000100)=[{0x6, 0x0, 0x0, 0x7fff0000}]}) syz_mount_image$iso9660(0x0, &(0x7f0000000040)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) stat(&(0x7f0000001480)='./file0\x00', &(0x7f0000000280)) r0 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r0, 0xffffffffffffffff, 0x0) ioctl$SECCOMP_IOCTL_NOTIF_RECV(r0, 0xc0502100, &(0x7f0000000140)) 10:14:59 executing program 1: r0 = syz_io_uring_complete(0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r2 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000340), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_LINKMODES_GET(r0, &(0x7f0000000100)={&(0x7f0000000000), 0xc, &(0x7f00000000c0)={&(0x7f0000000080)={0x14, r2, 0x0, 0x70bd2b, 0x25dfdbfc}, 0x14}, 0x1, 0x0, 0x0, 0x40000000}, 0x0) wait4(0xffffffffffffffff, &(0x7f0000000140), 0x0, 0x0) syz_genetlink_get_family_id$nl80211(0x0, 0xffffffffffffffff) sendmsg$NL80211_CMD_STOP_SCHED_SCAN(0xffffffffffffffff, 0x0, 0x0) ioctl$sock_FIOGETOWN(0xffffffffffffffff, 0x8903, 0x0) prlimit64(0x0, 0x0, 0x0, 0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r6, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}}, 0x1c}}, 0x0) 10:14:59 executing program 2: r0 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) connect$bt_l2cap(r0, &(0x7f0000000000)={0x1f, 0x0, @fixed={'\xaa\xaa\xaa\xaa\xaa', 0x10}}, 0xe) bind$bt_l2cap(r0, &(0x7f0000000040)={0x1f, 0x7, @fixed={'\xaa\xaa\xaa\xaa\xaa', 0x11}, 0x9, 0x2}, 0xe) setsockopt$bt_BT_SECURITY(r0, 0x112, 0x4, &(0x7f0000000200)={0x4}, 0x2) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) sendmsg$NFT_MSG_GETGEN(r1, &(0x7f00000001c0)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x2000000}, 0xc, &(0x7f0000000180)={&(0x7f0000000140)={0x14, 0x10, 0xa, 0x0, 0x0, 0x0, {0xa, 0x0, 0xa}, ["", "", "", ""]}, 0x14}, 0x1, 0x0, 0x0, 0x800}, 0x0) ppoll(&(0x7f0000000080)=[{}, {r0, 0x2020}, {r0}], 0x3, &(0x7f00000000c0)={0x0, 0x3938700}, 0x0, 0x0) 10:14:59 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3e, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}, {&(0x7f0000014c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x90800}, {&(0x7f0000015100)="02000200f000000001d7f0012201000000800000200000000080000030000000", 0x20, 0x91000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:14:59 executing program 7: r0 = seccomp$SECCOMP_SET_MODE_FILTER_LISTENER(0x1, 0x0, &(0x7f0000000040)={0x1, &(0x7f0000000000)=[{0xdf, 0x30, 0x4, 0x5d33}]}) r1 = syz_mount_image$msdos(&(0x7f0000000140), &(0x7f0000000180)='./file0\x00', 0x8000, 0x2, &(0x7f0000000340)=[{&(0x7f00000001c0)="5fe328cbe80fdd018acbea86fc471a88d68d7d804cbd9bd6fd4c1b30bb677b3918429e759797fc41b531a08a24667ae69762f592b60b0e667ad703d7ed36d57f94430d3410bebd", 0x47, 0x3}, {&(0x7f0000000240)="d6f49586607c4f01c8ebb59a29e67830624de76be77f8416794fe2b048e09f2f32719dbe86dc1c4e407c9f03a75a59c5d26930d8a8570802d78e3a34af275d97113c04d29ed77ea78a44dbbc81d6433aee39ba6a545ee39f652edba83227b13be32b3d866c1ba35ac7ebcd05c3b232d03689c0e49ad56beffd0049a9d853a5821a410b771ad2eee60765f791841b47e49523fc78ba28429a85dfcbfef41d9df97ea84842f19ecb7e463ec0fa9bbc377bc3c049b6217d7443ca4956c0007068cf2d9a8e9b8d59541fdab2a974dbdc604217b472867f", 0xd5, 0xfffffffffffffffe}], 0x81400a, &(0x7f0000000380)=ANY=[@ANYBLOB='dots,appraise,fscontext=staff_u,uid>', @ANYRESDEC=0x0, @ANYBLOB=',s\x00']) r2 = dup2(r0, r1) ioctl$AUTOFS_DEV_IOCTL_FAIL(r2, 0xc0189377, &(0x7f0000000100)={{0x1, 0x1, 0x18, r0, {0x3, 0x100}}, './file0\x00'}) r4 = syz_open_procfs(0x0, &(0x7f00000003c0)='statm\x00') mount$9p_fd(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x0, &(0x7f0000000400)={'trans=fd,', {'rfdno', 0x3d, r3}, 0x2c, {'wfdno', 0x3d, r4}, 0x2c, {[{@version_L}, {@access_client}, {@cachetag={'cachetag', 0x3d, 'ns\x00'}}, {@cache_none}, {@cache_mmap}, {@nodevmap}], [{@dont_hash}, {@euid_eq}, {@smackfsdef={'smackfsdef', 0x3d, '\'#'}}, {@hash}, {@mask={'mask', 0x3d, 'MAY_READ'}}, {@uid_gt={'uid>', 0xffffffffffffffff}}, {@dont_appraise}, {@context={'context', 0x3d, 'system_u'}}, {@seclabel}]}}) syz_open_procfs(0xffffffffffffffff, &(0x7f0000002480)='ns\x00') [ 1132.896212] loop7: detected capacity change from 0 to 16383 [ 1132.899538] loop4: detected capacity change from 0 to 2320 [ 1132.904840] FAT-fs (loop7): Unrecognized mount option "appraise" or missing value [ 1132.926118] 9pnet_fd: Insufficient options for proto=fd [ 1132.930386] 9pnet_fd: Insufficient options for proto=fd [ 1132.930622] loop7: detected capacity change from 0 to 16383 10:15:14 executing program 2: syz_mount_image$tmpfs(0x0, &(0x7f00000000c0)='./file0\x00', 0xd1dc, 0x0, 0x0, 0x109c04, 0x0) setxattr$system_posix_acl(&(0x7f0000000080)='./file0\x00', &(0x7f0000000200)='system.posix_acl_default\x00', &(0x7f0000000440)={{}, {0x4}}, 0x24, 0x0) 10:15:14 executing program 6: r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000036c0)='/proc/locks\x00', 0x0, 0x0) preadv(0xffffffffffffffff, &(0x7f0000003600)=[{&(0x7f0000001040)=""/163, 0xa3}, {&(0x7f0000001140)=""/230, 0xe6}, {&(0x7f0000001240)=""/4096, 0x1000}, {&(0x7f0000002240)=""/186, 0xba}, {&(0x7f0000002300)=""/198, 0xc6}, {&(0x7f0000002400)=""/218, 0xda}, {&(0x7f0000002500)=""/230, 0xe6}, {&(0x7f0000002600)=""/4096, 0x1000}], 0x8, 0xdcb, 0xffffffff) preadv(r0, &(0x7f0000001100)=[{&(0x7f0000000040)=""/4096, 0x1000}], 0x1, 0x1, 0x0) r1 = fork() ioctl$PIO_FONT(r0, 0x4b61, &(0x7f0000003700)="f3ab3aaf030f447e614b638fe82c19d0688c4e3e672d3982c39ebd4a8fdffc7bc2c7971b9fbeb50f5842aeaba07d17757ae0aadaa37de0a67cf8da3d4f9e87931dcb27adbdf5ffb92f6fc3c88acecf13d0c7b83a788266ba19a7f0450d60293e5617aa7f12d87d99") r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) dup3(r0, r2, 0x0) fork() ioctl$F2FS_IOC_MOVE_RANGE(r0, 0xc020f509, &(0x7f0000000000)={r0, 0x800000000, 0x2, 0xffffbffffffffffb}) pwrite64(r3, &(0x7f0000003780)="6ed46aad9a574fe83c0c1e02be119c4645641099ff4444f0f808dd3043dc378a4efd484532810fd06d0b2279fc8ee52d1e918d0c18140e2fee22e1cab699ed171ed75ea734ef7eec7bdc227209e9f32919df97451f7eb8053f431e97a82622acc9117563f1807f4f706d3d3d774465a6815f7c14f82f0f327cbc71a8c1b31e6deff70b535a59d070d734d6c28f77eaedfe5c2e70e39bed19010b3d02b30719edf5bfe5ba9fbd2c5f9f1839b529ff27811cafda5b5f531c1f74611611cec1ad319614496d029a84b83073a6ee3d2ee3a7673db80fe2ef1913d4354367b10293faae410fb584b33a85c0f95fe0f86f61b9c3e5be", 0xf3, 0x808) fcntl$lock(r0, 0x1, &(0x7f0000003680)={0x1, 0x1, 0xb09, 0x4, r1}) 10:15:14 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private, 0x4d2, 0x32}, 0x2, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:14 executing program 1: r0 = syz_io_uring_complete(0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r2 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000340), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_LINKMODES_GET(r0, &(0x7f0000000100)={&(0x7f0000000000), 0xc, &(0x7f00000000c0)={&(0x7f0000000080)={0x14, r2, 0x0, 0x70bd2b, 0x25dfdbfc}, 0x14}, 0x1, 0x0, 0x0, 0x40000000}, 0x0) wait4(0xffffffffffffffff, &(0x7f0000000140), 0x0, 0x0) syz_genetlink_get_family_id$nl80211(0x0, 0xffffffffffffffff) sendmsg$NL80211_CMD_STOP_SCHED_SCAN(0xffffffffffffffff, 0x0, 0x0) ioctl$sock_FIOGETOWN(0xffffffffffffffff, 0x8903, 0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r6, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}}, 0x1c}}, 0x0) 10:15:14 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:15:14 executing program 3: ioctl$SNDRV_SEQ_IOCTL_GET_QUEUE_TEMPO(0xffffffffffffffff, 0xc02c5341, &(0x7f0000000000)) ioctl$SNDRV_SEQ_IOCTL_GET_QUEUE_TEMPO(0xffffffffffffffff, 0xc02c5341, &(0x7f0000000080)) r0 = openat$vcsa(0xffffffffffffff9c, &(0x7f0000000100), 0x18000, 0x0) lseek(r0, 0x7, 0x6) 10:15:14 executing program 7: syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r0 = inotify_init() inotify_add_watch(r0, &(0x7f0000000080)='./file0\x00', 0xe000006c) stat(&(0x7f0000000000)='./file0\x00', &(0x7f00000001c0)) lsetxattr$trusted_overlay_opaque(&(0x7f0000000040)='./file0\x00', &(0x7f0000000180), 0x0, 0x0, 0x0) 10:15:14 executing program 0: r0 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000000080)='net/rt6_stats\x00') r1 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000100), 0xffffffffffffffff) r2 = socket$nl_generic(0x10, 0x3, 0x10) r3 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r3, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r5 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r2, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000002c0)=ANY=[@ANYBLOB="1cf2000045d361811c77e0c69fce001a02d43ab9a69e815aabe28c8f57462df9c843a0d87d601883c741dac60e6d6aa364daf369583f335c9bfa0bbc53b12f05dad10229eeaf4476c7ac536804e6680b55383bf24e82488c0e36b87a62d922a857e3a7947be62bc192b408fc56c631b28a72dbe36840e11a2ac2f25cd477eb4a8e88d8e5a944ffb977e699aa92c082e22cc1efec197a0af001e04024b19027a9cf7519c6815fc01e836e35dce441", @ANYRES16=r5, @ANYBLOB="010000000000000000006600000008000300", @ANYRES32=r4, @ANYBLOB], 0x1c}}, 0x0) sendmsg$NL80211_CMD_NOTIFY_RADAR(r0, &(0x7f0000000240)={&(0x7f00000000c0)={0x10, 0x0, 0x0, 0x800}, 0xc, &(0x7f0000000200)={&(0x7f0000000180)={0x54, r1, 0x10, 0x70bd27, 0x25dfdbfc, {{}, {@val={0x8, 0x3, r4}, @void}}, [@NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x133}, @NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0x1d}, @NL80211_ATTR_CENTER_FREQ1={0x8, 0xa0, 0x9}, @NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x3e7}, @NL80211_ATTR_WIPHY_FREQ_OFFSET={0x8, 0x122, 0x137}, @NL80211_ATTR_WIPHY_EDMG_CHANNELS={0x5, 0x118, 0x3}, @NL80211_ATTR_CHANNEL_WIDTH={0x8, 0x9f, 0x5}]}, 0x54}, 0x1, 0x0, 0x0, 0x48000}, 0x20000010) r6 = openat$tun(0xffffffffffffff9c, &(0x7f0000000280), 0x0, 0x0) syz_io_uring_setup(0x0, &(0x7f0000000000)={0x0, 0x0, 0x0, 0x0, 0x39b}, &(0x7f0000ffc000/0x3000)=nil, &(0x7f0000ffc000/0x1000)=nil, 0x0, 0x0) r7 = openat2(0xffffffffffffffff, &(0x7f00000003c0)='./file0\x00', &(0x7f0000000400)={0x60187, 0x1, 0x1b}, 0x18) ioctl$BTRFS_IOC_FS_INFO(r7, 0x8400941f, &(0x7f0000000440)) ioctl$TUNSETIFF(r6, 0x400454ca, &(0x7f0000000000)={'rose0\x00'}) ioctl$TUNGETIFF(r6, 0x8924, &(0x7f0000000140)={'vcan0\x00'}) 10:15:14 executing program 1: r0 = syz_io_uring_complete(0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r2 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000340), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_LINKMODES_GET(r0, &(0x7f0000000100)={&(0x7f0000000000), 0xc, &(0x7f00000000c0)={&(0x7f0000000080)={0x14, r2, 0x0, 0x70bd2b, 0x25dfdbfc}, 0x14}, 0x1, 0x0, 0x0, 0x40000000}, 0x0) wait4(0xffffffffffffffff, &(0x7f0000000140), 0x0, 0x0) syz_genetlink_get_family_id$nl80211(0x0, 0xffffffffffffffff) sendmsg$NL80211_CMD_STOP_SCHED_SCAN(0xffffffffffffffff, 0x0, 0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r6, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}}, 0x1c}}, 0x0) 10:15:14 executing program 3: ioctl$RTC_UIE_OFF(0xffffffffffffffff, 0x7004) stat(&(0x7f00000000c0)='./cgroup/cgroup.procs\x00', &(0x7f0000000180)={0x0, 0x0, 0x0, 0x0, 0x0}) setresuid(0x0, r0, 0x0) sendmsg$nl_netfilter(0xffffffffffffffff, &(0x7f0000000500)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x2000000}, 0xc, &(0x7f0000000100)={&(0x7f0000000540)=ANY=[@ANYBLOB="fcf6fffffffffbffff73e79c5c3484b4feea625d3b445a14e9fd8722bf000000b25843e32f", @ANYRES32=r0, @ANYBLOB="de025f8045835a460bc4d26f1bdd98d0885e6b3d33d98f66301ddf47cbc0d5b98478090f5adc380e5d9cd3170ac4cf0c8fa0ff719b51ad3f205dddcba25d6b29dbdec8af4ff1d78a9944b7d7b4da1fcc2600978ac4289ed8dd1e813bd25bd51738cfa73b41bb17801c8b134721355be17e3294fd86f3911c59f1476576a429bdffecc3290df1e95f373f14008200ff020000000000000000000000000001b8cc1813ce6758d915c486826bc95021b79890abbf7d51cf0cc844aaac39e7992a42c60805a800cdd21198fc82f753adefb62c9afdf6bf8ccdf54d697bb4d0a41a88077098327603937372e8c9f88acfe1f87f07796f6e7e0357eb11551d9ea6be24355525921372b640cd840d78920cf11bd26a15bdfe6ea65ef12d7811b8f4d23d948071484a3550cebdb8c0fb86fefdbcb08ec84f4d273a25a70d0df8a8e6d4df0532689b55b8a0949e5349c73bb0eae6a127f835b9c66c3745c94d5aea8782c26c829f6a31173f518b262e8b8d09c8e6ed0f18c57e8e3d459d50d5efae67e41895644523f2e92b37439039eff5ec176ed18bd5ce84dd54a878a24ad91b722d4f82dc40d9d7d5265f1f845ce422e8028a8cd3c552a2a3c73da3a7e653a98518de531147424f7d8d8faa307b0c4648c72996b67c1e39f596583ac284f3d2f81d8af92fd3392e1263cc29a633b02ff84f129717e57fb59bca14ded4aa7202d53733247fe169a3b475b364eafd8f4e72b7d3f260f31f9af71a3f229f676164ead72eb078cd54432b1ecb72ff7f969fcad9c8589f4182a4fe612f772dacbaf53f312e74f816d5d067f6808aca6ff7007bbcff4a16e1033009b5e52bf2bb10e12da9b578fa98475c31d3f1ef60478e41effc6af5fe8debd918b55a99bfbaf78517060096d1320a88140e33975a1fc7f63780dbc7a50e769960505624f8e8992de70ca16977b0b344e05b56b8b93a20d231c715816923bf5351eac91bae8a034b7251983ad46cd77fd610a61f350447b3ec00"/736], 0x2fc}, 0x1, 0x0, 0x0, 0x20000054}, 0x4000000) r1 = syz_io_uring_setup(0x1, &(0x7f0000000080), &(0x7f0000ffa000/0x4000)=nil, &(0x7f0000ffc000/0x3000)=nil, &(0x7f0000000000)=0x0, &(0x7f0000000140)=0x0) r4 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r4, 0xffffffffffffffff, 0x0) syncfs(r4) syz_io_uring_submit(r2, r3, &(0x7f00000001c0)=@IORING_OP_OPENAT={0x12, 0x0, 0x0, 0xffffffffffffffff, 0x0, &(0x7f0000000180)='./file0/file0\x00', 0x0, 0x414300}, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x4, 0x8010, r1, 0x0) io_uring_enter(r1, 0x1, 0x0, 0x0, 0x0, 0x0) 10:15:14 executing program 7: r0 = openat$null(0xffffffffffffff9c, &(0x7f0000000040), 0x8901, 0x0) getsockopt$inet_tcp_int(r0, 0x6, 0x19, &(0x7f0000000080), &(0x7f00000000c0)=0x4) syz_mount_image$vfat(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x2, &(0x7f0000000200)=[{&(0x7f0000010000)="eb3c906d6b66732e66617400020801000270", 0x12}, {0x0, 0x0, 0x2400}], 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) write$binfmt_aout(r2, &(0x7f0000001180)=ANY=[], 0x220) sendfile(r2, r1, 0x0, 0xfffffdef) 10:15:14 executing program 0: r0 = syz_mount_image$vfat(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x2, &(0x7f0000000200)=[{&(0x7f0000010000)="601c6d6b646f7366d8a02b00080101000440002000f801", 0x17}, {0x0, 0x0, 0x2800}], 0x0, &(0x7f0000000140)=ANY=[]) chdir(&(0x7f0000000140)='./file0\x00') r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(0xffffffffffffffff, 0xc0189378, &(0x7f0000000080)=ANY=[@ANYBLOB="00000001001b010000fb2000", @ANYRES32=r0, @ANYRES32=r1, @ANYBLOB='\x00\x00\x00\x00./file0\x00']) r3 = openat(r2, &(0x7f00000000c0)='./file1\x00', 0x210002, 0x0) write$binfmt_aout(r1, &(0x7f0000001180)=ANY=[], 0x220) truncate(&(0x7f0000000040)='./file1\x00', 0x80) sendfile(r1, 0xffffffffffffffff, 0x0, 0xfffffdef) openat(r3, &(0x7f0000000340)='./file1\x00', 0x183401, 0x190) sendmsg$IEEE802154_SET_MACPARAMS(0xffffffffffffffff, &(0x7f0000000280)={&(0x7f0000000180)={0x10, 0x0, 0x0, 0x20000000}, 0xc, &(0x7f0000000240)={&(0x7f00000001c0)={0x28, 0x0, 0x100, 0x70bd29, 0x25dfdbfe, {}, [@IEEE802154_ATTR_DEV_NAME={0xa, 0x1, 'wpan3\x00'}, @IEEE802154_ATTR_CCA_ED_LEVEL={0x8, 0x24, 0x7f}]}, 0x28}, 0x1, 0x0, 0x0, 0xc0}, 0x40880) connect$unix(r3, &(0x7f00000002c0)=@file={0x0, './file1\x00'}, 0x6e) [ 1147.604512] loop7: detected capacity change from 0 to 36 10:15:14 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private, 0x0, 0x32}, 0x2, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:14 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:15:14 executing program 2: r0 = syz_open_dev$evdev(&(0x7f0000000980), 0x0, 0x0) r1 = syz_io_uring_setup(0x42ad, &(0x7f0000000200)={0x0, 0x0, 0x0, 0x5}, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000ffc000/0x4000)=nil, &(0x7f0000000180), &(0x7f00000001c0)) readv(r0, &(0x7f0000000080)=[{&(0x7f00000009c0)=""/4096, 0x1000}], 0x1) close(r1) syz_io_uring_setup(0x0, &(0x7f0000000080)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}, &(0x7f0000ffe000/0x2000)=nil, &(0x7f00000b0000)=nil, 0x0, 0x0) r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) ioctl$BTRFS_IOC_GET_SUPPORTED_FEATURES(0xffffffffffffffff, 0x80489439, &(0x7f0000000100)) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x3000)=nil, 0x3000, 0x1, 0x80010, r2, 0x0) syz_open_procfs(0x0, 0x0) syz_io_uring_setup(0x2420, &(0x7f0000000280), &(0x7f0000ffe000/0x1000)=nil, &(0x7f0000ffc000/0x4000)=nil, &(0x7f0000000040), 0x0) 10:15:14 executing program 1: r0 = syz_io_uring_complete(0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r2 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000340), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_LINKMODES_GET(r0, &(0x7f0000000100)={&(0x7f0000000000), 0xc, &(0x7f00000000c0)={&(0x7f0000000080)={0x14, r2, 0x0, 0x70bd2b, 0x25dfdbfc}, 0x14}, 0x1, 0x0, 0x0, 0x40000000}, 0x0) wait4(0xffffffffffffffff, &(0x7f0000000140), 0x0, 0x0) syz_genetlink_get_family_id$nl80211(0x0, 0xffffffffffffffff) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r6, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}}, 0x1c}}, 0x0) 10:15:14 executing program 3: syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r0 = syz_mount_image$nfs(&(0x7f0000000000), &(0x7f0000000040)='./file0\x00', 0x401, 0x0, &(0x7f0000000080), 0x840090, &(0x7f00000001c0)={[{'noextend'}, {'\''}, {'&+]-'}, {'@{\''}, {'noextend'}, {'trans=fd,'}, {}, {'-,'}, {'trans=fd,'}, {'rfdno'}], [{@euid_eq={'euid', 0x3d, 0xffffffffffffffff}}]}) openat(r0, &(0x7f0000000280)='./file0/file0\x00', 0x0, 0x118) pipe2$9p(0x0, 0x0) clone3(&(0x7f0000000640)={0x123363500, &(0x7f00000000c0), 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) mount$9p_fd(0x0, &(0x7f0000000240)='./file0\x00', &(0x7f00000025c0), 0x0, &(0x7f0000000140)={'trans=fd,', {}, 0x2c, {}, 0x2c, {[{@noextend}]}}) [ 1147.662788] 9pnet_fd: Insufficient options for proto=fd [ 1148.465647] loop7: detected capacity change from 0 to 36 10:15:27 executing program 2: r0 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r0, 0xffffffffffffffff, 0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) ioctl$BTRFS_IOC_SNAP_CREATE(r0, 0x50009401, &(0x7f00000005c0)={{r1}, "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"}) ioctl$sock_SIOCGIFVLAN_GET_VLAN_VID_CMD(0xffffffffffffffff, 0x8982, &(0x7f0000000040)) getpeername(r0, &(0x7f0000000080)=@pppol2tp={0x18, 0x1, {0x0, 0xffffffffffffffff, {0x2, 0x0, @empty}}}, &(0x7f0000000100)=0x80) getsockopt$EBT_SO_GET_INIT_INFO(r2, 0x0, 0x82, &(0x7f0000000140)={'filter\x00', 0x0, 0x0, 0x0, [0x31, 0x3, 0x4, 0xfffffffffffffffb, 0x1000, 0xce0]}, &(0x7f00000001c0)=0x78) mount(0x0, &(0x7f0000000500)='./mnt\x00', &(0x7f0000000000)='autofs\x00', 0x0, &(0x7f0000000580)='\x00') 10:15:27 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:27 executing program 7: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x4042, 0x0) ioctl$F2FS_IOC_MOVE_RANGE(r0, 0xc020f509, &(0x7f00000000c0)={r0, 0x0, 0x6, 0x1ff}) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) ioctl$FS_IOC_SETFLAGS(r1, 0x40086602, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x2, 0x0) pwrite64(r2, &(0x7f0000000000)='Y', 0x1, 0x8040000) ioctl$FS_IOC_SETFLAGS(0xffffffffffffffff, 0x40086602, &(0x7f0000000040)) r3 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r3, 0xffffffffffffffff, 0x0) ioctl$FIGETBSZ(r3, 0x2, &(0x7f0000000080)) ioctl$EXT4_IOC_SWAP_BOOT(r2, 0x660c) 10:15:27 executing program 3: r0 = add_key$keyring(&(0x7f0000000240), 0x0, 0x0, 0x0, 0xfffffffffffffffd) add_key$keyring(&(0x7f0000000040), &(0x7f0000000080)={'syz', 0x1}, 0x0, 0x0, r0) keyctl$search(0xa, 0x0, 0x0, &(0x7f0000000140)={'syz', 0x2}, 0xfffffffffffffffe) openat$tun(0xffffffffffffff9c, &(0x7f0000000280), 0x0, 0x0) keyctl$search(0xa, 0x0, &(0x7f0000001240)='encrypted\x00', 0x0, r0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) r2 = add_key(&(0x7f00000002c0)='encrypted\x00', &(0x7f0000000300)={'syz', 0x3}, 0x0, 0x0, r0) add_key$fscrypt_v1(&(0x7f00000000c0), &(0x7f0000000180)={'fscrypt:', @desc1}, &(0x7f00000001c0)={0x0, "be8515932607586a802ec4b384abde4ad478601681f0453ee763a73886c14754e7e77d8ed69fc6c35bd5d086b8da76f37c65b7e3a8b34ed405d7e3aac9ab0ac4", 0x22}, 0x48, r2) openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) ioctl$EXT4_IOC_CHECKPOINT(r1, 0x4004662b, &(0x7f0000000000)=0x2) 10:15:27 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:15:27 executing program 1: r0 = syz_io_uring_complete(0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r2 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000340), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_LINKMODES_GET(r0, &(0x7f0000000100)={&(0x7f0000000000), 0xc, &(0x7f00000000c0)={&(0x7f0000000080)={0x14, r2, 0x0, 0x70bd2b, 0x25dfdbfc}, 0x14}, 0x1, 0x0, 0x0, 0x40000000}, 0x0) wait4(0xffffffffffffffff, &(0x7f0000000140), 0x0, 0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r6, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}}, 0x1c}}, 0x0) 10:15:27 executing program 6: syz_mount_image$ext4(&(0x7f0000000140)='ext2\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x2, &(0x7f0000000200)=[{&(0x7f0000000040)="200000008000000006000000660000000f000000000000000100000001000000004000000040000020000000d3f4655fd4f4655f0100ffff53ef010001000000d3f4655f000000000000000001000000000000000b00000000020000280200000284", 0x62, 0x10001}, {&(0x7f0000010300)="020000000300000004", 0x9, 0xfffffffffffffff8}], 0x16fce4280e7fafd7, &(0x7f00000000c0)={[{@user_xattr}]}) 10:15:27 executing program 0: r0 = syz_init_net_socket$bt_sco(0x1f, 0x5, 0x2) bind$bt_sco(r0, &(0x7f0000000000)={0x1f, @none}, 0x8) bind$bt_sco(r0, &(0x7f0000000080)={0x1f, @none}, 0x8) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) r2 = pidfd_getfd(r1, 0xffffffffffffffff, 0x0) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000180)={0xffffffffffffffff}) listen(r3, 0xfffffff8) r4 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r4, 0xffffffffffffffff, 0x0) ppoll(&(0x7f00000000c0)=[{r1, 0x2054}, {r0, 0x8001}, {r0, 0x1041}, {r4, 0x908b}], 0x4, &(0x7f0000000100), &(0x7f0000000140)={[0x8]}, 0x8) setsockopt$SO_TIMESTAMPING(r0, 0x1, 0x41, &(0x7f0000000040)=0xa08, 0x4) r5 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000200), r2) r6 = socket$nl_generic(0x10, 0x3, 0x10) r7 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r7, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r9 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r6, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r9, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r8}, @void}}}, 0x1c}}, 0x0) sendmsg$NL80211_CMD_SET_COALESCE(r1, &(0x7f00000002c0)={&(0x7f00000001c0)={0x10, 0x0, 0x0, 0x1000}, 0xc, &(0x7f0000000280)={&(0x7f0000000240)={0x38, r5, 0x200, 0x70bd26, 0x25dfdbfc, {{}, {@val={0x8, 0x3, r8}, @val={0xc, 0x99, {0x2, 0x1f}}}}, [@NL80211_ATTR_COALESCE_RULE_DELAY={0x8, 0x1, 0x1}, @NL80211_ATTR_COALESCE_RULE_CONDITION={0x8}]}, 0x38}, 0x1, 0x0, 0x0, 0x14090}, 0x4809) [ 1160.858195] loop6: detected capacity change from 0 to 16383 10:15:27 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) [ 1160.866427] warning: checkpointing journal with EXT4_IOC_CHECKPOINT_FLAG_ZEROOUT can be slow [ 1160.867725] loop6: detected capacity change from 0 to 16383 10:15:27 executing program 6: r0 = syz_open_procfs$userns(0xffffffffffffffff, &(0x7f0000000000)) fcntl$lock(r0, 0x25, &(0x7f00000030c0)={0x1}) ioctl$AUTOFS_DEV_IOCTL_OPENMOUNT(0xffffffffffffffff, 0xc0189374, &(0x7f0000000040)={{0x1, 0x1, 0x18, r0, {0x4}}, './file0\x00'}) ioctl$EVIOCRMFF(r1, 0x40044581, &(0x7f0000000080)=0x3) fcntl$lock(r0, 0x24, &(0x7f0000000140)) 10:15:27 executing program 2: syz_mount_image$ext4(0x0, &(0x7f0000000000)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) pipe2$9p(&(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}, 0x0) mount$9p_fd(0x0, &(0x7f0000000240)='./file0\x00', &(0x7f00000025c0), 0x0, &(0x7f0000000100)={'trans=fd,', {'rfdno', 0x3d, r0}, 0x2c, {'wfdno', 0x3d, r1}, 0x2c, {[{@cache_none}]}}) 10:15:27 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3d, &(0x7f0000000200)=[{&(0x7f0000010000)="01434430303101004c494e55582020202020202020202020202020202020202020202020202020204344524f4d20202020202020202020202020202020202020202020202020202000000000000000002301000000000123000000000000000000000000000000000000000000000000000000000000000001000001010000010008080018000000000000180d010000000000000000010f000000002200150100000000011500080000000008007809140b2a3a08020000010000010100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202073797a6b616c6c65722020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202047454e49534f494d4147452049534f20393636302f4846532046494c4553595354454d2043524541544f5220284329203139393320452e594f554e4744414c452028432920313939372d32303036204a2e50454152534f4e2f4a2e534348494c4c494e472028432920323030362d32303037204344524b4954205445414d202066696c6533202020202020202020202020202020202020202020202020202020202020202066696c6531202020202020202020202020202020202020202020202020202020202020202066696c6532202020202020202020202020202020202020202020202020202020202020202032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}, {&(0x7f0000014c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x90800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:15:27 executing program 1: r0 = syz_io_uring_complete(0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r2 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000340), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_LINKMODES_GET(r0, &(0x7f0000000100)={&(0x7f0000000000), 0xc, &(0x7f00000000c0)={&(0x7f0000000080)={0x14, r2, 0x0, 0x70bd2b, 0x25dfdbfc}, 0x14}, 0x1, 0x0, 0x0, 0x40000000}, 0x0) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r4, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r3, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r6, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r5}, @void}}}, 0x1c}}, 0x0) 10:15:27 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_SIOCDARP(r0, 0x8953, &(0x7f0000000000)={{0x2, 0x4e21, @private=0xa010101}, {0x1, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x5}}, 0x8, {0x2, 0x4e23, @multicast1}, 'veth0_to_bridge\x00'}) r1 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r1, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) [ 1161.245507] loop4: detected capacity change from 0 to 2312 10:15:28 executing program 7: syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) mount(0x0, &(0x7f0000000040)='./file0\x00', &(0x7f0000000000)='debugfs\x00', 0x0, 0x0) mount(&(0x7f00000001c0)=@nullb, &(0x7f0000000200)='./file0\x00', &(0x7f0000000240)='openpromfs\x00', 0x10, &(0x7f0000000280)='debugfs\x00') r0 = syz_mount_image$tmpfs(&(0x7f00000000c0), &(0x7f0000000140)='./file0\x00', 0x0, 0x0, 0x0, 0x4020, &(0x7f0000000300)=ANY=[]) linkat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', r0, &(0x7f0000000180)='./file0\x00', 0x0) r1 = syz_mount_image$tmpfs(&(0x7f0000000300), &(0x7f0000000340)='./file0\x00', 0x1, 0x3, &(0x7f0000000580)=[{&(0x7f0000000380)="aa55b8f69a9ef75a98cefa213cf531395075b514464ace6ff047a4c5778c92178656b71f6d210b6ff5972bcc5390b83792df696d63b51ef83dfe0cb30eaf5ae52848108f", 0x44, 0x10000}, {&(0x7f0000000400)="ecfe12c1380b7443124b7d9ae600ced49195cfd2335a4a4141b601cc49c5ee85e16e49b24be70233dea8198d128efb27b5757b986b7297913deabf16ebf183aae187029dfb45e0be43a761c555d6a2f4b20ceb51c817bb4b8de2b7f48587142b0db1", 0x62, 0x800}, {&(0x7f0000000480)="9dc01810c26748f7ef6a2e2485bc54ec7a24ed4f1fe7fbdf7c2d72cbeeadd75121a3f8c45f4ab1e787af3f4f730c1de109318dfb1aee919e27134d4c83b1a603e9c0a60ed33bc26901f58d13f9245a8a7ec92837459b6f74c45081f253b1fa6e9b74e091ad88b4c62909c25997f8b9cec17d9fb668adad43a5b3c6923c3a57ebf0f3e3348b2594a46c2b1facae0bc9004d50101539e8bffc1391ecfe6acc66f6a5632c8afae5b862c7d07e757b44b4b3f638a19748174b24983f60e547b18c022b3eb1197fae86696a126a14ac96a380c84c10eaae48f8d6c64369d61a611fc4028668649fc36c7ce816ac5c", 0xec, 0x5eb}], 0x89, &(0x7f0000000600)={[{@huge_within_size}, {@huge_within_size}], [{@obj_role}, {@smackfshat={'smackfshat', 0x3d, ']\''}}]}) symlinkat(&(0x7f00000002c0)='./file0\x00', r1, &(0x7f0000000640)='./file0\x00') 10:15:28 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:28 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) [ 1161.421882] loop7: detected capacity change from 0 to 256 [ 1161.423956] tmpfs: Unsupported parameter 'huge' [ 1161.517736] loop7: detected capacity change from 0 to 256 [ 1161.519366] tmpfs: Unsupported parameter 'huge' [ 1162.102134] syz-executor.0: vmalloc error: size 679727104, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz0,mems_allowed=0 [ 1162.104639] CPU: 1 PID: 8833 Comm: syz-executor.0 Not tainted 5.16.0-next-20220120 #1 [ 1162.105581] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1162.106911] Call Trace: [ 1162.107230] [ 1162.107514] dump_stack_lvl+0x8b/0xb3 [ 1162.108000] warn_alloc.cold+0x95/0x18a [ 1162.108516] ? zone_watermark_ok_safe+0x240/0x240 [ 1162.109111] ? __schedule+0x88a/0x2190 [ 1162.109612] ? io_schedule_timeout+0x180/0x180 [ 1162.110194] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1162.110783] __vmalloc_node_range+0xe7a/0x10b0 [ 1162.111362] ? __vmalloc_node_no_huge+0x110/0x110 [ 1162.111962] ? lock_is_held_type+0xd7/0x130 [ 1162.112519] ? packet_set_ring+0xb60/0x1b20 [ 1162.113094] __vmalloc_node+0xb5/0x100 [ 1162.113631] ? packet_set_ring+0xb60/0x1b20 [ 1162.114255] packet_set_ring+0xb60/0x1b20 [ 1162.114861] packet_setsockopt+0x1b4f/0x3c40 [ 1162.115491] ? lock_release+0x3b2/0x6f0 [ 1162.116046] ? __fget_files+0x26b/0x470 [ 1162.116591] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1162.117344] ? packet_bind+0x1b0/0x1b0 [ 1162.117891] ? finish_task_switch.isra.0+0x221/0x870 [ 1162.118607] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1162.119354] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1162.120119] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1162.120862] ? selinux_socket_setsockopt+0x6a/0x80 [ 1162.121546] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1162.122295] __sys_setsockopt+0x180/0x2a0 [ 1162.122881] ? packet_bind+0x1b0/0x1b0 [ 1162.123426] ? __ia32_sys_recv+0x100/0x100 [ 1162.124059] __x64_sys_setsockopt+0xba/0x150 [ 1162.124660] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1162.125382] do_syscall_64+0x3b/0x90 [ 1162.125907] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1162.126623] RIP: 0033:0x7f1320addb19 [ 1162.127135] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1162.129579] RSP: 002b:00007f131e053188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1162.130606] RAX: ffffffffffffffda RBX: 00007f1320bf0f60 RCX: 00007f1320addb19 [ 1162.131577] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000004 [ 1162.132555] RBP: 00007f1320b37f6d R08: 0000000000000010 R09: 0000000000000000 [ 1162.133516] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1162.134480] R13: 00007ffcc9dc1b9f R14: 00007f131e053300 R15: 0000000000022000 [ 1162.135461] [ 1162.135898] Mem-Info: [ 1162.136275] active_anon:59 inactive_anon:36859 isolated_anon:0 [ 1162.136275] active_file:14799 inactive_file:38585 isolated_file:32 [ 1162.136275] unevictable:0 dirty:232 writeback:0 [ 1162.136275] slab_reclaimable:8981 slab_unreclaimable:63455 [ 1162.136275] mapped:81155 shmem:131 pagetables:3237 bounce:0 [ 1162.136275] kernel_misc_reclaimable:0 [ 1162.136275] free:7498 free_pcp:326 free_cma:0 [ 1162.140907] Node 0 active_anon:236kB inactive_anon:147604kB active_file:59196kB inactive_file:154212kB unevictable:0kB isolated(anon):0kB isolated(file):128kB mapped:324620kB dirty:1012kB writeback:0kB shmem:524kB writeback_tmp:0kB kernel_stack:6432kB pagetables:12948kB all_unreclaimable? no [ 1162.144291] Node 0 DMA free:6508kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1162.147706] lowmem_reserve[]: 0 1617 1617 1617 [ 1162.148410] Node 0 DMA32 free:24492kB boost:12648kB min:17768kB low:19424kB high:21080kB reserved_highatomic:0KB active_anon:236kB inactive_anon:147604kB active_file:58440kB inactive_file:153448kB unevictable:0kB writepending:844kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1552kB local_pcp:960kB free_cma:0kB [ 1162.152287] lowmem_reserve[]: 0 0 0 0 [ 1162.152821] Node 0 DMA: 1*4kB (U) 1*8kB (U) 0*16kB 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6508kB [ 1162.154765] Node 0 DMA32: 1930*4kB (UME) 758*8kB (ME) 229*16kB (M) 57*32kB (M) 6*64kB (M) 4*128kB (M) 4*256kB (M) 4*512kB (UM) 2*1024kB (M) 0*2048kB 0*4096kB = 25288kB [ 1162.156960] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1162.158125] 21510 total pagecache pages [ 1162.158680] 0 pages in swap cache [ 1162.159149] Swap cache stats: add 0, delete 0, find 0/0 [ 1162.159897] Free swap = 0kB [ 1162.160358] Total swap = 0kB [ 1162.160774] 524158 pages RAM [ 1162.161186] 0 pages HighMem/MovableOnly [ 1162.161761] 105117 pages reserved 10:15:45 executing program 6: r0 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r0, 0xffffffffffffffff, 0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r2 = syz_io_uring_setup(0x1, &(0x7f0000000080)={0x0, 0x0, 0x1, 0x2, 0x0, 0x0, r1}, &(0x7f00000a0000)=nil, &(0x7f0000ffc000/0x4000)=nil, &(0x7f0000000100)=0x0, &(0x7f0000000000)=0x0) syz_io_uring_submit(r3, r4, &(0x7f00000001c0)=@IORING_OP_FSYNC={0x3, 0x0, 0x0, @fd=r2}, 0x0) io_uring_enter(r2, 0x1, 0x0, 0x0, 0x0, 0x0) dup3(0xffffffffffffffff, r2, 0x80000) recvfrom(r1, &(0x7f0000000200)=""/169, 0xa9, 0x41, &(0x7f0000000140)=@vsock={0x28, 0x0, 0x2710, @my=0x1}, 0x80) 10:15:45 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3c, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:15:45 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_SIOCDARP(r0, 0x8953, &(0x7f0000000000)={{0x2, 0x4e21, @private=0xa010101}, {0x1, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x5}}, 0x8, {0x2, 0x4e23, @multicast1}, 'veth0_to_bridge\x00'}) r1 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r1, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:15:45 executing program 2: r0 = socket$inet6(0xa, 0x2, 0x0) connect$inet6(r0, &(0x7f0000000040)={0xa, 0x4e20, 0x5, @empty, 0x34}, 0x1c) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x0, 0x0, @remote, 0x4}, 0xffffffffffffffab) setsockopt$inet6_IPV6_ADDRFORM(r0, 0x29, 0x11, &(0x7f0000000280)=0x4, 0x4) 10:15:45 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:45 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:45 executing program 7: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x4040, 0x0) syz_mount_image$nfs(&(0x7f0000000040), &(0x7f0000000080)='./file1\x00', 0x0, 0x0, &(0x7f0000000500), 0x81cc0, &(0x7f0000000180)=ANY=[]) syz_mount_image$nfs(0x0, 0x0, 0x7ffffd, 0x0, &(0x7f0000000500), 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x80000, 0x0) creat(&(0x7f0000000140)='./file1\x00', 0x300) sendfile(r1, r1, 0x0, 0x7fffffff) pwrite64(0xffffffffffffffff, &(0x7f00000000c0)="813b73eee18fccfcecb97ff0e95ece8bbcc9a039430d9866ccdde08b", 0x1c, 0x2) ioctl$RTC_WIE_ON(r0, 0x700f) ioctl$F2FS_IOC_RELEASE_COMPRESS_BLOCKS(0xffffffffffffffff, 0x8008f512, 0x0) ioctl$TUNSETIFF(0xffffffffffffffff, 0x400454ca, 0x0) r2 = openat$ptmx(0xffffffffffffff9c, 0x0, 0x0, 0x0) openat$tun(0xffffffffffffff9c, &(0x7f0000000000), 0x28000, 0x0) sendfile(0xffffffffffffffff, r2, 0x0, 0x1) 10:15:45 executing program 1: syz_io_uring_complete(0x0) r0 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r0, 0xffffffffffffffff, 0x0) syz_genetlink_get_family_id$ethtool(&(0x7f0000000340), 0xffffffffffffffff) r1 = socket$nl_generic(0x10, 0x3, 0x10) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r4 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r1, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r4, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}}, 0x1c}}, 0x0) [ 1178.271979] loop7: detected capacity change from 0 to 16383 [ 1178.279522] loop4: detected capacity change from 0 to 2264 10:15:45 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:45 executing program 1: syz_io_uring_complete(0x0) r0 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r0, 0xffffffffffffffff, 0x0) r1 = socket$nl_generic(0x10, 0x3, 0x10) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r4 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r1, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r4, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r3}, @void}}}, 0x1c}}, 0x0) 10:15:45 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:45 executing program 2: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3d, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}, {&(0x7f0000014c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x90800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:15:45 executing program 6: r0 = syz_mount_image$tmpfs(&(0x7f00000006c0), &(0x7f0000000700)='./file0\x00', 0x0, 0x0, 0x0, 0x0, &(0x7f0000000000)=ANY=[@ANYBLOB="5f0400000001001a320d0d"]) chroot(&(0x7f0000000080)='./file0\x00') syz_mount_image$iso9660(0x0, &(0x7f0000000200)='./file0/file1\x00', 0x0, 0x0, 0x0, 0x0, 0x0) syz_mount_image$vfat(0x0, &(0x7f0000000040)='./file0/file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) mknodat$loop(r0, &(0x7f00000000c0)='./file0/file0\x00', 0x0, 0x1) llistxattr(&(0x7f00000004c0)='./file0/file0\x00', &(0x7f00000005c0)=""/126, 0x7e) rename(&(0x7f0000000540)='./file0/file1\x00', &(0x7f0000000580)='./file0/file0\x00') r1 = mq_open(&(0x7f0000000500)='$$^,{\x00', 0x40, 0x20, &(0x7f0000000640)={0x1, 0xf642, 0x200, 0x1}) preadv(r1, &(0x7f0000000680)=[{&(0x7f0000000740)=""/237, 0xed}, {&(0x7f0000000840)=""/139, 0x8b}], 0x2, 0xffffff00, 0x0) r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) execveat(r2, &(0x7f0000000100)='./file0/file0\x00', &(0x7f0000000180)=[&(0x7f0000000140)='\xef%.\x00'], &(0x7f0000000440)=[&(0x7f00000001c0)='+[))\x00', &(0x7f0000000240)='tmpfs\x00', &(0x7f0000000280)='tmpfs\x00', &(0x7f00000002c0)='\x00', &(0x7f0000000300)='@[%:\'\x85\'$}.(:{-@', &(0x7f0000000340)='tmpfs\x00', &(0x7f0000000380)='$$^,{\x00', &(0x7f00000003c0)='tmpfs\x00', &(0x7f0000000400)='\x00'], 0x1000) 10:15:45 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3b, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="0243443030310100004c0049004e0055005800200020002000200020002000200020002000200020004300440052004f004d0020002000200020002000200020002000200020002000000000000000002301000000000123252f4500000000000000000000000000000000000000000000000000000000000100000101000001000808001c0000000000001c110100000000000000000113000000002200170100000000011700080000000008007809140b2a3a08020000010000010100002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000730079007a006b0061006c006c006500720020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000470045004e00490053004f0049004d004100470045002000490053004f00200039003600360030005f004800460053002000460049004c004500530059005300540045004d002000430052004500410054004f005200200028004300290020003100390039003300200045002e0059004f0055004e004700440041004c004500660069006c0065003300200020002000200020002000200020002000200020002000200000660069006c0065003100200020002000200020002000200020002000200020002000200000660069006c0065003200200020002000200020002000200020002000200020002000200032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:15:45 executing program 7: r0 = openat$rtc(0xffffffffffffff9c, &(0x7f00000001c0), 0x0, 0x0) lseek(0xffffffffffffffff, 0x0, 0x1) ioctl$SNDRV_SEQ_IOCTL_SET_CLIENT_INFO(0xffffffffffffffff, 0x40bc5311, &(0x7f0000000100)={0x2, 0x1, 'client1\x00', 0x6, "2462812c18880aaf", "e02f33767059dce214f75f7e1810d8eaaf9db09ed9174cbe8a5c2ca55a59687b", 0x8, 0xffff}) fcntl$notify(0xffffffffffffffff, 0x402, 0x0) ioctl$RTC_WKALM_SET(r0, 0x4028700f, &(0x7f0000000240)={0x1, 0x1, {0x2e, 0x0, 0x0, 0x8, 0x2, 0x175, 0x0, 0xb3, 0x1}}) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) ioctl$AUTOFS_DEV_IOCTL_TIMEOUT(r1, 0xc018937a, &(0x7f0000000000)={{0x1, 0x1, 0x18, r0, {0x1}}, './file0\x00'}) [ 1178.447911] tmpfs: Unknown parameter '_' [ 1178.485415] tmpfs: Unknown parameter '_' [ 1178.496672] loop4: detected capacity change from 0 to 2256 [ 1178.504687] rtc_cmos 00:05: Alarms can be up to one day in the future [ 1178.517930] rtc_cmos 00:05: Alarms can be up to one day in the future 10:15:45 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev, 0x0, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:15:45 executing program 2: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3d, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}, {&(0x7f0000014c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x90800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1179.122582] syz-executor.0: vmalloc error: size 773754880, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz0,mems_allowed=0 [ 1179.123897] CPU: 0 PID: 8863 Comm: syz-executor.0 Not tainted 5.16.0-next-20220120 #1 [ 1179.124500] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1179.125329] Call Trace: [ 1179.125526] [ 1179.125704] dump_stack_lvl+0x8b/0xb3 [ 1179.126008] warn_alloc.cold+0x95/0x18a [ 1179.126323] ? zone_watermark_ok_safe+0x240/0x240 [ 1179.126696] ? __schedule+0x88a/0x2190 [ 1179.127001] ? io_schedule_timeout+0x180/0x180 [ 1179.127372] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1179.127736] __vmalloc_node_range+0xe7a/0x10b0 [ 1179.128097] ? __vmalloc_node_no_huge+0x110/0x110 [ 1179.128483] ? lock_is_held_type+0xd7/0x130 [ 1179.128825] ? packet_set_ring+0xb60/0x1b20 [ 1179.129150] __vmalloc_node+0xb5/0x100 [ 1179.129452] ? packet_set_ring+0xb60/0x1b20 [ 1179.129791] packet_set_ring+0xb60/0x1b20 [ 1179.130129] packet_setsockopt+0x1b4f/0x3c40 [ 1179.130460] ? lock_release+0x3b2/0x6f0 [ 1179.130766] ? __fget_files+0x26b/0x470 [ 1179.131056] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1179.131472] ? packet_bind+0x1b0/0x1b0 [ 1179.131763] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1179.132182] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1179.132619] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1179.133029] ? selinux_socket_setsockopt+0x6a/0x80 [ 1179.133402] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1179.133820] __sys_setsockopt+0x180/0x2a0 [ 1179.134145] ? packet_bind+0x1b0/0x1b0 [ 1179.134455] ? __ia32_sys_recv+0x100/0x100 [ 1179.134792] __x64_sys_setsockopt+0xba/0x150 [ 1179.135141] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1179.135540] do_syscall_64+0x3b/0x90 [ 1179.135831] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1179.136227] RIP: 0033:0x7f1320addb19 [ 1179.136535] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1179.137850] RSP: 002b:00007f131e053188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1179.138414] RAX: ffffffffffffffda RBX: 00007f1320bf0f60 RCX: 00007f1320addb19 [ 1179.138936] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000004 [ 1179.139462] RBP: 00007f1320b37f6d R08: 0000000000000010 R09: 0000000000000000 [ 1179.139991] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1179.140525] R13: 00007ffcc9dc1b9f R14: 00007f131e053300 R15: 0000000000022000 [ 1179.141073] [ 1179.141291] Mem-Info: [ 1179.141477] active_anon:61 inactive_anon:36812 isolated_anon:6 [ 1179.141477] active_file:15093 inactive_file:16737 isolated_file:50 [ 1179.141477] unevictable:0 dirty:107 writeback:0 [ 1179.141477] slab_reclaimable:8793 slab_unreclaimable:63150 [ 1179.141477] mapped:81155 shmem:119 pagetables:3231 bounce:0 [ 1179.141477] kernel_misc_reclaimable:0 [ 1179.141477] free:6927 free_pcp:238 free_cma:0 [ 1179.143989] Node 0 active_anon:244kB inactive_anon:147248kB active_file:60372kB inactive_file:66948kB unevictable:0kB isolated(anon):24kB isolated(file):200kB mapped:324620kB dirty:428kB writeback:0kB shmem:476kB writeback_tmp:0kB kernel_stack:6400kB pagetables:12924kB all_unreclaimable? no [ 1179.145820] Node 0 DMA free:6508kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1179.147638] lowmem_reserve[]: 0 1617 1617 1617 [ 1179.148000] Node 0 DMA32 free:21200kB boost:12648kB min:17768kB low:19424kB high:21080kB reserved_highatomic:0KB active_anon:160kB inactive_anon:146744kB active_file:60708kB inactive_file:67204kB unevictable:0kB writepending:512kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1080kB local_pcp:776kB free_cma:0kB [ 1179.150120] lowmem_reserve[]: 0 0 0 0 [ 1179.150442] Node 0 DMA: 1*4kB (U) 1*8kB (U) 0*16kB 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6508kB [ 1179.151485] Node 0 DMA32: 1590*4kB (UME) 831*8kB (UME) 347*16kB (UME) 69*32kB (M) 1*64kB (M) 1*128kB (U) 0*256kB 0*512kB 0*1024kB 0*2048kB 0*4096kB = 20960kB [ 1179.152613] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1179.153255] 20757 total pagecache pages [ 1179.153548] 0 pages in swap cache [ 1179.153802] Swap cache stats: add 0, delete 0, find 0/0 [ 1179.154194] Free swap = 0kB [ 1179.154440] Total swap = 0kB [ 1179.154670] 524158 pages RAM [ 1179.154898] 0 pages HighMem/MovableOnly [ 1179.155183] 105117 pages reserved 10:16:00 executing program 3: sendmsg$nl_xfrm(0xffffffffffffffff, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:16:00 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_SIOCDARP(r0, 0x8953, &(0x7f0000000000)={{0x2, 0x4e21, @private=0xa010101}, {0x1, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x5}}, 0x8, {0x2, 0x4e23, @multicast1}, 'veth0_to_bridge\x00'}) r1 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r1, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:16:00 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev, 0x0, 0x0, 0x0, 0x0, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:16:00 executing program 6: r0 = epoll_create(0x4) r1 = signalfd4(r0, &(0x7f0000000200), 0xfffffdd1, 0x0) epoll_ctl$EPOLL_CTL_ADD(r0, 0x1, r1, &(0x7f0000000240)={0xa0002000}) r2 = openat(0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00', 0x1036e1, 0x0) signalfd4(r2, &(0x7f0000000080)={[0x6]}, 0x8, 0x80800) r3 = dup2(r2, r2) dup2(r3, r0) r4 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r4, 0xffffffffffffffff, 0x0) ioctl$F2FS_IOC_GET_PIN_FILE(r4, 0x8004f50e, &(0x7f0000000000)) 10:16:00 executing program 1: syz_io_uring_complete(0x0) pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:16:00 executing program 7: ioctl$AUTOFS_DEV_IOCTL_OPENMOUNT(0xffffffffffffffff, 0xc0189374, &(0x7f0000000000)={{0x1, 0x1, 0x18, 0xffffffffffffffff}, './file0\x00'}) timerfd_gettime(r0, &(0x7f0000000040)) r1 = clone3(&(0x7f00000012c0)={0x2080000, &(0x7f0000001080), &(0x7f00000010c0), &(0x7f0000001100), {0x32}, &(0x7f0000001140)=""/82, 0x52, &(0x7f00000011c0)=""/152, &(0x7f0000001280)=[0x0, 0xffffffffffffffff], 0x2}, 0x58) r2 = syz_open_procfs$namespace(r1, &(0x7f0000002580)='ns/pid\x00') setns(r2, 0x8000000) r3 = socket$netlink(0x10, 0x3, 0x0) socket$packet(0x11, 0x3, 0x300) sendmsg$netlink(r3, &(0x7f000000c340)={0x0, 0x0, &(0x7f0000002640)=[{&(0x7f0000001340)={0x117c, 0x19, 0x1, 0x0, 0x25dfdbfb, "", [@nested={0x1169, 0x16, 0x0, 0x1, [@typed={0x79, 0x76, 0x0, 0x0, @binary="f6778d0a3f7031d7a1e4407947e72592ab08e16dea36c9bb72359359a5cbe9bf552d5bb7f3287f3e795ff8d9786dfa65297191a8f46053751253dc6ea5915cd53bbc19b6c44364bba90ec0c4b216208578c29d36ddeaaa295a3f29e2b02f7651987750a0f9e4e10e0edb60b08bf5e25351834231e8"}, @typed={0x8, 0x0, 0x0, 0x0, @uid}, @generic="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", @typed={0x58, 0x57, 0x0, 0x0, @binary="5d4b4ab69a1e9181d44bc39e3ad6d400c5962286a474edc8d87f5e44e24e5be5234df6e561654b519acd5c21d1b49ce846193c57c4b86f816a4a4e14a394a06281801ff9d095edade8be2313c413d09506c94ad6"}, @generic="20e2b181b728117cc90470696bd97fd4653d63bb909a507245d82ccf61cb47ec836b92c4ef59020fbf547f1ad2f602d6ca3eafcc6cb15a17fc8a048797d08353747958d8a223c8a971efab3b1942276a59a4b0650c807c8147cf15c40e590c88ef67aee10a", @typed={0x14, 0x0, 0x0, 0x0, @ipv6=@empty}]}]}, 0x117c}], 0x1}, 0x0) ioctl$HIDIOCGFIELDINFO(r0, 0xc038480a, &(0x7f00000025c0)={0x3, 0xffffffff, 0x9bc, 0x8000, 0x0, 0x10001, 0x4, 0x200, 0xff, 0x2, 0x3, 0x7, 0xfffffe01, 0x3}) r4 = syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) read(r4, &(0x7f0000000080)=""/4096, 0x1000) syz_open_dev$hiddev(&(0x7f0000002600), 0x9, 0x84002) 10:16:00 executing program 2: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3d, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}, {&(0x7f0000000b80)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x8d000}, {&(0x7f0000014b00)='syzkallers\x00'/32, 0x20, 0x8d800}, {&(0x7f0000014c00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x90800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:00 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3a, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}, {&(0x7f0000014900)="4552ed010a548701525249505f313939314154484520524f434b20524944474520494e5445524348414e47452050524f544f434f4c2050524f564944455320535550504f525420464f5220504f5349582046494c452053595354454d2053454d414e54494353504c4541534520434f4e544143542044495343205055424c495348455220464f522053504543494649434154494f4e20534f555243452e2020534545205055424c4953484552204944454e54494649455220494e205052494d41525920564f4c554d452044455343524950544f5220464f5220434f4e5441435420494e464f524d4154494f4e2e00"/256, 0x100, 0x8c800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1193.543494] loop4: detected capacity change from 0 to 2248 10:16:00 executing program 1: syz_io_uring_complete(0x0) r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:16:00 executing program 6: socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000280)={0xffffffffffffffff}) ioctl$ifreq_SIOCGIFINDEX_wireguard(r0, 0x8933, &(0x7f0000000340)={'wg2\x00'}) r1 = syz_open_dev$evdev(&(0x7f0000000980), 0x0, 0x0) syz_io_uring_setup(0x403, 0x0, &(0x7f0000ffe000/0x1000)=nil, &(0x7f0000ffc000/0x4000)=nil, &(0x7f0000000180), &(0x7f00000001c0)) r2 = openat$zero(0xffffffffffffff9c, &(0x7f00000000c0), 0x36d800, 0x0) io_uring_enter(r2, 0x166d, 0xef9, 0x1, &(0x7f0000000080)={[0x9]}, 0x8) ioctl$F2FS_IOC_GET_COMPRESS_BLOCKS(r1, 0x8008f511, &(0x7f0000000240)) pwritev(0xffffffffffffffff, &(0x7f0000000140), 0x0, 0x0, 0x0) r3 = syz_open_dev$evdev(&(0x7f0000000000), 0x7fffffff, 0x0) write$binfmt_elf64(r3, &(0x7f00000002c0)=ANY=[@ANYBLOB="7f45424600000003fcfffffffff663ff000002"], 0x10d) 10:16:00 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x39, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}, {&(0x7f0000000a00)="22001801000080fd011800080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a080200000100000101012c0021010000000001211a0400000031041a7809140b2a3a08000000010000010a00660069006c00650030002c0022010000000001220000000000000000000000002a3a08000000010000010a00660069006c0065080000000000001a054205db9e5a31348da274461dc285c754aff7f467b6ad9a177c0b23852e3085772fb0dd263f60d0", 0xc9, 0x8c000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:00 executing program 2: syz_mount_image$ext4(&(0x7f0000001340)='ext3\x00', &(0x7f0000001380)='./file0\x00', 0x0, 0x1, &(0x7f0000001680)=[{0x0, 0x0, 0x80000000}], 0x0, &(0x7f0000001700)) mount$9p_unix(&(0x7f0000000000)='./file0\x00', &(0x7f0000000040)='./file0\x00', &(0x7f0000000080), 0x8002, &(0x7f00000000c0)={'trans=unix,', {[{@uname={'uname', 0x3d, 'ext3\x00'}}, {@debug}, {@posixacl}, {@loose}, {@access_user}, {@cache_loose}, {@msize={'msize', 0x3d, 0x5}}, {@noextend}], [{@subj_type={'subj_type', 0x3d, '$+'}}, {@subj_role={'subj_role', 0x3d, '@'}}, {@fsuuid={'fsuuid', 0x3d, {[0x37, 0x62, 0x30, 0x30, 0x34, 0x66, 0x32, 0x33], 0x2d, [0x61, 0x66, 0x63, 0x64], 0x2d, [0x37, 0x33, 0x65, 0x32], 0x2d, [0x63, 0x66, 0x30, 0x36], 0x2d, [0x62, 0x34, 0x31, 0x35, 0x64, 0x33, 0x37, 0x33]}}}, {@func={'func', 0x3d, 'KEXEC_INITRAMFS_CHECK'}}, {@defcontext={'defcontext', 0x3d, 'user_u'}}, {@rootcontext={'rootcontext', 0x3d, 'unconfined_u'}}, {@obj_role={'obj_role', 0x3d, '{$'}}, {@euid_lt={'euid<', 0xee01}}, {@smackfsdef={'smackfsdef', 0x3d, 'ext3\x00'}}]}}) [ 1193.689957] loop4: detected capacity change from 0 to 2240 10:16:00 executing program 3: sendmsg$nl_xfrm(0xffffffffffffffff, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:16:00 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev, 0x0, 0x0, 0x0, 0x0, 0x0, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:16:00 executing program 2: r0 = openat$tun(0xffffffffffffff9c, &(0x7f0000000280), 0x0, 0x0) syz_io_uring_setup(0x3, &(0x7f0000000000)={0x0, 0x0, 0x4, 0x0, 0x39b}, &(0x7f0000ffc000/0x3000)=nil, &(0x7f0000ffc000/0x2000)=nil, 0x0, 0x0) ioctl$TUNSETIFF(r0, 0x400454ca, &(0x7f0000000000)={'rose0\x00'}) ioctl$TUNGETIFF(r0, 0x800454d2, &(0x7f0000000140)={'vcan0\x00'}) 10:16:00 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="01434430303101004c494e55582020202020202020202020202020202020202020202020202020204344524f4d20202020202020202020202020202020202020202020202020202000000000000000002301000000000123000000000000000000000000000000000000000000000000000000000000000001000001010000010008080018000000000000180d010000000000000000010f000000002200150100000000011500080000000008007809140b2a3a08020000010000010100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202073797a6b616c6c65722020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202047454e49534f494d4147452049534f20393636302f4846532046494c4553595354454d2043524541544f5220284329203139393320452e594f554e4744414c452028432920313939372d32303036204a2e50454152534f4e2f4a2e534348494c4c494e472028432920323030362d32303037204344524b4954205445414d202066696c6533202020202020202020202020202020202020202020202020202020202020202066696c6531202020202020202020202020202020202020202020202020202020202020202066696c6532202020202020202020202020202020202020202020202020202020202020202032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00650030002c001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010a00660069006c00650031002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c00650032002c001c0100000000011c28230000000023287809140b2a3a08000000010000010a00660069006c0065003300"/320, 0x140, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:00 executing program 3: sendmsg$nl_xfrm(0xffffffffffffffff, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) [ 1193.877821] loop4: detected capacity change from 0 to 2232 [ 1194.443933] syz-executor.0: vmalloc error: size 808132608, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz0,mems_allowed=0 [ 1194.445171] CPU: 0 PID: 8920 Comm: syz-executor.0 Not tainted 5.16.0-next-20220120 #1 [ 1194.445735] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1194.446767] Call Trace: [ 1194.446954] [ 1194.447122] dump_stack_lvl+0x8b/0xb3 [ 1194.447409] warn_alloc.cold+0x95/0x18a [ 1194.447704] ? zone_watermark_ok_safe+0x240/0x240 [ 1194.448052] ? __schedule+0x88a/0x2190 [ 1194.448345] ? io_schedule_timeout+0x180/0x180 [ 1194.448694] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1194.449045] __vmalloc_node_range+0xe7a/0x10b0 [ 1194.449390] ? __vmalloc_node_no_huge+0x110/0x110 [ 1194.449737] ? lock_is_held_type+0xd7/0x130 [ 1194.450073] ? packet_set_ring+0xb60/0x1b20 [ 1194.450390] __vmalloc_node+0xb5/0x100 [ 1194.450675] ? packet_set_ring+0xb60/0x1b20 [ 1194.450996] packet_set_ring+0xb60/0x1b20 [ 1194.451320] packet_setsockopt+0x1b4f/0x3c40 [ 1194.451649] ? lock_release+0x3b2/0x6f0 [ 1194.451944] ? __fget_files+0x26b/0x470 [ 1194.452233] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1194.452635] ? packet_bind+0x1b0/0x1b0 [ 1194.452929] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1194.453326] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1194.453728] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1194.454117] ? selinux_socket_setsockopt+0x6a/0x80 [ 1194.454479] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1194.454886] __sys_setsockopt+0x180/0x2a0 [ 1194.455201] ? packet_bind+0x1b0/0x1b0 [ 1194.455493] ? __ia32_sys_recv+0x100/0x100 [ 1194.455816] __x64_sys_setsockopt+0xba/0x150 [ 1194.456143] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1194.456543] do_syscall_64+0x3b/0x90 [ 1194.456824] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1194.457203] RIP: 0033:0x7f1320addb19 [ 1194.457478] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1194.458769] RSP: 002b:00007f131e053188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1194.459317] RAX: ffffffffffffffda RBX: 00007f1320bf0f60 RCX: 00007f1320addb19 [ 1194.459831] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000004 [ 1194.460346] RBP: 00007f1320b37f6d R08: 0000000000000010 R09: 0000000000000000 [ 1194.460868] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1194.461376] R13: 00007ffcc9dc1b9f R14: 00007f131e053300 R15: 0000000000022000 [ 1194.461894] [ 1194.462249] Mem-Info: [ 1194.462464] active_anon:61 inactive_anon:37012 isolated_anon:0 [ 1194.462464] active_file:10850 inactive_file:13526 isolated_file:10 [ 1194.462464] unevictable:0 dirty:0 writeback:0 [ 1194.462464] slab_reclaimable:8750 slab_unreclaimable:62777 [ 1194.462464] mapped:79405 shmem:122 pagetables:3303 bounce:0 [ 1194.462464] kernel_misc_reclaimable:0 [ 1194.462464] free:6003 free_pcp:369 free_cma:0 [ 1194.465182] Node 0 active_anon:244kB inactive_anon:148048kB active_file:43400kB inactive_file:54104kB unevictable:0kB isolated(anon):0kB isolated(file):40kB mapped:317620kB dirty:0kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6624kB pagetables:13212kB all_unreclaimable? no [ 1194.467179] Node 0 DMA free:6508kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1194.469012] lowmem_reserve[]: 0 1617 1617 1617 [ 1194.469388] Node 0 DMA32 free:17504kB boost:12648kB min:17768kB low:19424kB high:21080kB reserved_highatomic:0KB active_anon:244kB inactive_anon:147964kB active_file:43788kB inactive_file:53920kB unevictable:0kB writepending:0kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1552kB local_pcp:856kB free_cma:0kB [ 1194.471444] lowmem_reserve[]: 0 0 0 0 [ 1194.471742] Node 0 DMA: 1*4kB (U) 1*8kB (U) 0*16kB 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6508kB [ 1194.472773] Node 0 DMA32: 1149*4kB (UME) 659*8kB (UME) 281*16kB (UME) 89*32kB (M) 5*64kB (UM) 0*128kB 0*256kB 0*512kB 0*1024kB 0*2048kB 0*4096kB = 17532kB [ 1194.473851] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1194.474483] 17068 total pagecache pages [ 1194.474768] 0 pages in swap cache [ 1194.475018] Swap cache stats: add 0, delete 0, find 0/0 [ 1194.475422] Free swap = 0kB [ 1194.475649] Total swap = 0kB [ 1194.475872] 524158 pages RAM [ 1194.476099] 0 pages HighMem/MovableOnly [ 1194.476391] 105117 pages reserved 10:16:16 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:16:16 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_SIOCDARP(r0, 0x8953, &(0x7f0000000000)={{0x2, 0x4e21, @private=0xa010101}, {0x1, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x5}}, 0x8, {0x2, 0x4e23, @multicast1}, 'veth0_to_bridge\x00'}) r1 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r1, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:16:16 executing program 7: syz_open_dev$tty20(0xc, 0x4, 0x1) r0 = inotify_init() r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) ioctl$AUTOFS_IOC_FAIL(r2, 0x9361, 0x401) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) r3 = accept4$inet6(r1, &(0x7f0000000000)={0xa, 0x0, 0x0, @remote}, &(0x7f0000000040)=0x1c, 0x800) fcntl$dupfd(r0, 0x406, r3) ioctl$FIONREAD(0xffffffffffffffff, 0x541b, &(0x7f0000000080)) 10:16:16 executing program 3: socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(0xffffffffffffffff, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:16:16 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x37, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:16 executing program 2: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) ioctl$EXT4_IOC_GET_ES_CACHE(r0, 0x40305839, 0x0) sendfile(r0, r0, 0x0, 0x3) ioctl$AUTOFS_DEV_IOCTL_PROTOSUBVER(r0, 0xc0189373, 0x0) sendto$inet(0xffffffffffffffff, &(0x7f0000000200)="dcbad1ea5d8137df21c494c45f163c33e37ff60c21a671839d49f88b9d597d3958a6f6f857b22a", 0x27, 0x800, &(0x7f0000000280)={0x2, 0x4e21, @local}, 0x10) pwritev(0xffffffffffffffff, &(0x7f0000000300)=[{&(0x7f0000000380)="56fe7248c020cfaf8535ee9ad650f96c27352064d8cd8c64dd1b153573337ca45b57e14ef3f81b2309e9bbfa85a733bd310cacf4949674ee93db7335d6b7be2759cf43231117557812bb634c23fbd4afd22f8eca3ecb3fb73219de99a04d1697c8a918a371ca0eb76d88c7dca2f8ac38b2310fcfb0edf0767590feaf91227e9bd0646550667dd13c0ef96a74e006f3c6064298", 0x93}], 0x1, 0xffffff96, 0x8a5) bind$inet(0xffffffffffffffff, &(0x7f0000000140)={0x2, 0x4e24, @loopback}, 0x10) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) write$P9_RREADLINK(r0, &(0x7f0000000040)={0x10, 0x17, 0x2, {0x7, './file1'}}, 0x10) ioctl$EXT4_IOC_GET_ES_CACHE(r1, 0x40305839, &(0x7f0000000440)=ANY=[@ANYBLOB="060000000800000000000000a4e7248741e7c464653478c186fdc3cb7d209917656b00e765c96dfe8bf8160457e79d49b2e10da60e12656678b61a502d3bd1da2bf9e2765165f33ee3a4ec8247d23494c95c229b8cf35d316688b86c9d5abc48860d96a16ad30017f581d26506cfe59e0c51c6686598aba4e8a05e7c9e8225b9cb449e56e331c8110d03ae9809e15395543c739054b60b56a2a3f01140c64b75e101996b7acdc55e999798d240e94b4107c0b06be54c0f0ad7dc68a40dd23a70f81c0b908d31d0a01d0b6319d7a4d48d5c756cea42e6a311f19f1543c4b6d05bc828617fd9b59aac0c7605ca41b41a3126eba1f490a3e2bbcded1eb01932d480f2d1dfb96e2afd03af3c2a4a"]) stat(&(0x7f0000000000)='./file1\x00', &(0x7f0000000080)) 10:16:16 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5}]}]}, 0x174}}, 0x0) 10:16:16 executing program 6: r0 = syz_open_dev$tty20(0xc, 0x4, 0x1) ioctl$TIOCSTI(r0, 0x540d, 0x0) r1 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000180)='/proc/vmstat\x00', 0x0, 0x0) ioctl$PIO_FONT(r1, 0x4b61, &(0x7f00000001c0)="9f0239950c4a8555b24feef967f8266a296f8504f0a7a9e267e5dcec85de90ca0246627f9e1b8a49116d3843b82641bceb9e877a9d99a53166f0f83dad4bb39e1837a47d92f07d1d606aa95e5f21cf89068823bc5338c80f81944de4dd26bdfedb8dcfe44c69a6a292f71ad385f0e3e35a09e670044a699c4af78f3b40881eec3b6570a659fb22504cdabc65610fa89fc6b8706e13f47b0d72949b") ioctl$PIO_UNISCRNMAP(0xffffffffffffffff, 0x4b6a, &(0x7f00000000c0)="50926da9aeabfd8360ffec59559c900523945024cc0c26b68d38042e65d4eef9ae5d1d7be43f2ffe0878fb5fba37cde579b216e85ed0b3cd99ae1f96281c4dd3aa5ddd1ce47a8526515a926065a0422485b94ef41f1fbc2604ea690dc7567985d6c37ab9dc1a06516b495cc32e4c79aea566e70b65c8e627") ioctl$KDGKBLED(r0, 0x4b64, &(0x7f0000000140)) r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) ioctl$TIOCSTI(r2, 0x5412, &(0x7f0000000080)) r3 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) ioctl$TIOCSETD(r3, 0x5423, &(0x7f0000000040)=0x16) [ 1209.614905] loop4: detected capacity change from 0 to 2224 [ 1209.658046] isofs_fill_super: get root inode failed 10:16:16 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0x174}}, 0x0) 10:16:16 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r1}, @void}}}, 0x1c}}, 0x0) 10:16:16 executing program 3: socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(0xffffffffffffffff, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:16:16 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x37, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:16 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) recvmsg(r0, &(0x7f0000000300)={&(0x7f0000000100)=@pppol2tpv3in6={0x18, 0x1, {0x0, 0xffffffffffffffff, 0x0, 0x0, 0x0, 0x0, {0xa, 0x0, 0x0, @dev}}}, 0x80, &(0x7f0000000480)=[{&(0x7f0000000180)=""/55, 0x37}, {&(0x7f00000001c0)=""/11, 0xb}, {&(0x7f0000000200)=""/128, 0x80}, {&(0x7f0000000380)=""/198, 0xc6}, {&(0x7f0000000280)=""/44, 0x2c}, {&(0x7f00000002c0)=""/52, 0x34}], 0x6}, 0x100) setsockopt$packet_fanout(r1, 0x107, 0x12, &(0x7f0000000500)={0x3, 0x7}, 0x4) setsockopt$packet_fanout(r0, 0x107, 0x12, &(0x7f00000000c0)={0x0, 0x8000}, 0x4) r2 = socket$packet(0x11, 0x2, 0x300) bind$packet(r2, &(0x7f0000000040)={0x11, 0x1, 0x0, 0x1, 0x80, 0x6, @link_local={0x1, 0x80, 0xc2, 0x0, 0x0, 0x3}}, 0x14) r3 = socket$inet_icmp_raw(0x2, 0x3, 0x1) ioctl$SIOCGSTAMPNS(r3, 0x8907, &(0x7f0000000080)) r4 = socket$nl_audit(0x10, 0x3, 0x9) ioctl$sock_SIOCGIFINDEX(r4, 0x8933, &(0x7f0000000340)={'sit0\x00'}) recvmsg$unix(r1, &(0x7f0000000880)={&(0x7f0000000640), 0x6e, &(0x7f0000000740)=[{&(0x7f00000006c0)=""/114, 0x72}], 0x1, &(0x7f00000007c0)=[@cred={{0x1c}}, @rights={{0x10}}, @rights={{0x2c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c}}, @cred={{0x1c}}, @cred={{0x1c}}], 0xc0}, 0x0) mq_timedsend(r5, &(0x7f00000008c0)="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", 0x1000, 0x1ff, &(0x7f00000018c0)={0x77359400}) sendmsg$inet(0xffffffffffffffff, &(0x7f0000000780)={&(0x7f0000000000), 0x10, &(0x7f0000000540)=[{&(0x7f0000000580)="eecc93529f83f7a7c4565ff4805965813567e6e6a601c6de8819efcf741e59088b0fa1014973537fb02354caa265d61836ee21ea44ca3da95426447c7e38d61adb295370df0a5af82983d210862ec3ba5d0a7eb79d429bf193ce4b3a339dbad7abfb6256e84b85a328c3cbde6babd3579a9be8a224af33faad7c344f77ccef653114fb32d11fcf7a61359832a052c6acecb919b1222242ec3fa7000a2a6d505ba796f6df", 0xa4}], 0x1, &(0x7f0000000700)}, 0x20000000) socket$packet(0x11, 0x3, 0x300) 10:16:16 executing program 7: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x4042, 0x0) ioctl$AUTOFS_DEV_IOCTL_CATATONIC(r0, 0xc0189379, &(0x7f0000000580)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) fallocate(r1, 0x48, 0x900000000000001, 0x8800020) openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x4042, 0x0) r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) sendfile(r2, r0, 0x0, 0x0) r3 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r3, 0xffffffffffffffff, 0x0) pwritev(r3, &(0x7f0000000500)=[{&(0x7f0000000000)="4805defe2aeb6737904e84cf7aaf94b197c004f9ecfad9cc79cdb9331c98fcd591", 0x21}, {&(0x7f0000000140)="325bd766df303724397da26679982335f53de8158edf8739dd1dc2438ec3985f9ac3b4889ecc03e5fcaf9e604970b14aa1d3cc2e42bbbef690d59c65d27368336ca12e3aa08e91c0cb7a8864a9c0008e0152cc0524655c07abb951e7d15d6f50e363ef3726ec7a55b4bfa5f5ca037cce963b0f0eb87d26121d85c97ac71dd269f376c3e41d422fdce34d104fccceb68c5869f8ff960def0a2db4bce3c4015a0959cfca7c8e66a4fd36d8f75ff9fd51b4e43e3b448b7a4d016eda64c5ff81e3a8b01f96e87161e3", 0xc7}, {&(0x7f0000000040)="e4ac9238b206d182b5980d9570b986fa8d0cec9c83b8e6677b085d0021d1ded489f44912731e548630aff45445d811e78f4559f9bd9b18fc867487450568c22725cc958a5d09ed74f6eaf3650f39956f8ad5dc10b004b2c9b33978666b9e210598e43e9ca61c9cc725ce8b8aba6a3f1c54ec931cce3805a11fcc7909d57d", 0x7e}, {&(0x7f0000000240)="165092d20041658d6f3904f0cef8310a7ab2de0a4d22182ec0e65dffc5c2b79ff9a84cb1a9b5c39be5f87ad892d8880b93baa5970eb01c038a6535a6a8d18d46d0d02e46a11ca1450a3786fb359bc35e17bdc8", 0x53}, {&(0x7f00000002c0)="9b515fc833d94227585dc12912dafb4cd2e6d2e59880dce31e2106701c9094bc62a1110a8ad91799add3ac4100b36f1b5519e4f8d6396708486fb70fa4ad76a607d52f32c12f7fd27153b4199f7523d3a912b2323eb782870d78a91cf8e32b1ae7173ad0c481212eb4afd27a69554ed926d3ff2534b78574f8500475bb5f8d07b8372e4febc286b6c36bdd31a9e001017ae70d3ab0d42ade7326e1ea1c9ad00803ffb5", 0xa3}, {&(0x7f0000000380)="351ad4cdecad9815bb32df6d9999323df115ba10e5472c59045c19cc6c9f7029294b9a988413d513ff8b0b2dbc9f6e96dde384fa2ebd41ac4c6d694c39b1fb5fd44277e954036bef38e17c4a4645242c377d6ef218433962b3", 0x59}, {&(0x7f0000000400)="e598c946c6b0242707d8be561a3b70a175c5253a84fc783f2e1f9962be5e3b090dbe60ee359c8813eb0aedea26d0cc71598e309df69d62289bca1369a7e5df39362628b21db419983c85c63005e09e066b3d22cb5c348f5518b673a8cff29e26a118d63bee5b7e6c72a76dacc76a552ba2b41dc5effc9279af535e11056d6f0e4460081c9d0359a4e33c27c1300fbd5045c4bfa5498043d05879b561c7687c83440e5c82b3fe676870f35e3bef5739b2f30a63e86a1ca91927e56a342db8b953f5de9f9b00183a86a56fa53646795303c0d1aea9bf99d66f7495c3", 0xdb}], 0x7, 0x9, 0x5) creat(0x0, 0x0) r4 = epoll_create1(0x80000) ioctl$BTRFS_IOC_DEFAULT_SUBVOL(r4, 0x40089413, &(0x7f00000000c0)) fallocate(0xffffffffffffffff, 0x1, 0x5, 0x7) [ 1210.368460] loop4: detected capacity change from 0 to 2224 [ 1210.381056] isofs_fill_super: get root inode failed [ 1210.436255] syz-executor.0: vmalloc error: size 842252288, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz0,mems_allowed=0 [ 1210.438349] CPU: 0 PID: 8960 Comm: syz-executor.0 Not tainted 5.16.0-next-20220120 #1 [ 1210.439341] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1210.440719] Call Trace: [ 1210.441062] [ 1210.441357] dump_stack_lvl+0x8b/0xb3 [ 1210.441860] warn_alloc.cold+0x95/0x18a [ 1210.442385] ? zone_watermark_ok_safe+0x240/0x240 [ 1210.443001] ? __schedule+0x88a/0x2190 [ 1210.443517] ? io_schedule_timeout+0x180/0x180 [ 1210.444116] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1210.444724] __vmalloc_node_range+0xe7a/0x10b0 [ 1210.445350] ? __vmalloc_node_no_huge+0x110/0x110 [ 1210.445970] ? lock_is_held_type+0xd7/0x130 [ 1210.446537] ? packet_set_ring+0xb60/0x1b20 [ 1210.447097] __vmalloc_node+0xb5/0x100 [ 1210.447598] ? packet_set_ring+0xb60/0x1b20 [ 1210.448178] packet_set_ring+0xb60/0x1b20 [ 1210.448766] packet_setsockopt+0x1b4f/0x3c40 [ 1210.449378] ? lock_release+0x3b2/0x6f0 [ 1210.449907] ? __fget_files+0x26b/0x470 [ 1210.450434] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1210.451163] ? packet_bind+0x1b0/0x1b0 [ 1210.451692] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1210.452421] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1210.453158] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1210.453872] ? selinux_socket_setsockopt+0x6a/0x80 [ 1210.454534] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1210.455258] __sys_setsockopt+0x180/0x2a0 [ 1210.455819] ? packet_bind+0x1b0/0x1b0 [ 1210.456350] ? __ia32_sys_recv+0x100/0x100 [ 1210.456958] __x64_sys_setsockopt+0xba/0x150 [ 1210.457571] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1210.458265] do_syscall_64+0x3b/0x90 [ 1210.458778] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1210.459464] RIP: 0033:0x7f1320addb19 [ 1210.459960] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1210.462300] RSP: 002b:00007f131e053188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1210.463280] RAX: ffffffffffffffda RBX: 00007f1320bf0f60 RCX: 00007f1320addb19 [ 1210.464203] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000004 [ 1210.465125] RBP: 00007f1320b37f6d R08: 0000000000000010 R09: 0000000000000000 [ 1210.466041] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1210.466947] R13: 00007ffcc9dc1b9f R14: 00007f131e053300 R15: 0000000000022000 [ 1210.467891] [ 1210.468278] Mem-Info: [ 1210.468608] active_anon:6881 inactive_anon:36716 isolated_anon:7 [ 1210.468608] active_file:6879 inactive_file:3012 isolated_file:38 [ 1210.468608] unevictable:0 dirty:18 writeback:0 [ 1210.468608] slab_reclaimable:8698 slab_unreclaimable:61438 [ 1210.468608] mapped:72772 shmem:143 pagetables:3253 bounce:0 [ 1210.468608] kernel_misc_reclaimable:0 [ 1210.468608] free:7153 free_pcp:316 free_cma:0 [ 1210.473130] Node 0 active_anon:27608kB inactive_anon:146864kB active_file:27416kB inactive_file:12048kB unevictable:0kB isolated(anon):28kB isolated(file):152kB mapped:291088kB dirty:72kB writeback:0kB shmem:572kB writeback_tmp:0kB kernel_stack:6336kB pagetables:13012kB all_unreclaimable? no [ 1210.476380] Node 0 DMA free:6508kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:8kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:4kB local_pcp:0kB free_cma:0kB [ 1210.479646] lowmem_reserve[]: 0 1617 1617 1617 [ 1210.480318] Node 0 DMA32 free:22104kB boost:12288kB min:17408kB low:19064kB high:20720kB reserved_highatomic:2048KB active_anon:27524kB inactive_anon:146780kB active_file:27636kB inactive_file:12140kB unevictable:0kB writepending:72kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1972kB local_pcp:1000kB free_cma:0kB [ 1210.484095] lowmem_reserve[]: 0 0 0 0 [ 1210.484682] Node 0 DMA: 6*4kB (UM) 1*8kB (U) 1*16kB (M) 2*32kB (UM) 2*64kB (UM) 1*128kB (M) 2*256kB (UM) 1*512kB (M) 1*1024kB (M) 0*2048kB 1*4096kB (M) = 6512kB [ 1210.486722] Node 0 DMA32: 1256*4kB (UME) 476*8kB (UME) 246*16kB (UME) 130*32kB (UMEH) 54*64kB (UME) 7*128kB (UM) 2*256kB (UM) 1*512kB (U) 1*1024kB (U) 0*2048kB 0*4096kB = 23328kB [ 1210.488942] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1210.490081] 9394 total pagecache pages [ 1210.490619] 0 pages in swap cache [ 1210.491072] Swap cache stats: add 0, delete 0, find 0/0 [ 1210.491790] Free swap = 0kB [ 1210.492189] Total swap = 0kB [ 1210.492629] 524158 pages RAM [ 1210.493057] 0 pages HighMem/MovableOnly [ 1210.493609] 105117 pages reserved 10:16:29 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) 10:16:29 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r1}, @void}}}, 0x1c}}, 0x0) 10:16:29 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_SIOCDARP(r0, 0x8953, &(0x7f0000000000)={{0x2, 0x4e21, @private=0xa010101}, {0x1, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x5}}, 0x8, {0x2, 0x4e23, @multicast1}, 'veth0_to_bridge\x00'}) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:16:29 executing program 7: r0 = syz_open_dev$evdev(&(0x7f0000000900), 0x0, 0x0) syz_genetlink_get_family_id$ieee802154(0x0, 0xffffffffffffffff) ioctl$EVIOCREVOKE(r0, 0x40044591, &(0x7f0000002a80)) r1 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r2 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000280), r1) r3 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$IEEE802154_ADD_IFACE(r3, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000300)=ANY=[@ANYBLOB='(\x00\x00\x00', @ANYRES16=r2, @ANYBLOB="010000000000000000002100000009001f0070687930000000000500200008b3a50c0723699bfc3a4b691c000000"], 0x28}}, 0x0) sendmsg$IEEE802154_LLSEC_DEL_KEY(0xffffffffffffffff, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x200000}, 0xc, &(0x7f0000000080)={&(0x7f0000000040)={0x20, r2, 0x300, 0x70bd27, 0x25dfdbff, {}, [@IEEE802154_ATTR_LLSEC_KEY_SOURCE_EXTENDED={0xc, 0x2d, {0xaaaaaaaaaaaa0102}}]}, 0x20}, 0x1, 0x0, 0x0, 0x4000000}, 0x4000000) r4 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r5 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r6 = syz_genetlink_get_family_id$ieee802154(&(0x7f0000000280), r5) r7 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$IEEE802154_ADD_IFACE(r7, &(0x7f0000000200)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000000)={0x28, r6, 0x1, 0x0, 0x0, {}, [@IEEE802154_ATTR_PHY_NAME={0x9, 0x1f, 'phy0\x00'}, @IEEE802154_ATTR_DEV_TYPE={0x5, 0x20, 0x8}]}, 0x28}}, 0x0) sendmsg$IEEE802154_ADD_IFACE(r4, &(0x7f00000002c0)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x10000000}, 0xc, &(0x7f0000000240)={&(0x7f0000000180)={0x4c, r6, 0x511, 0x70bd2c, 0x25dfdbfd, {}, [@IEEE802154_ATTR_HW_ADDR={0xc, 0x5, {0xaaaaaaaaaaaa0002}}, @IEEE802154_ATTR_DEV_TYPE={0x5, 0x20, 0x1}, @IEEE802154_ATTR_DEV_TYPE={0x5}, @IEEE802154_ATTR_DEV_TYPE={0x5}, @IEEE802154_ATTR_PHY_NAME={0x9, 0x1f, 'phy2\x00'}, @IEEE802154_ATTR_DEV_TYPE={0x5, 0x20, 0x1}]}, 0x4c}, 0x1, 0x0, 0x0, 0x20048010}, 0x24000001) sendmsg$DCCPDIAG_GETSOCK(0xffffffffffffffff, 0x0, 0x0) 10:16:29 executing program 6: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x101042, 0x0) write(r0, &(0x7f0000000080)="01", 0x49000) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) pwrite64(r1, &(0x7f0000000140)="b5eb3f2c8cf435c206191c58d44e2cf4bbbd7e362cc0113d431db5459f43247bf63d2fe460158e82ee5eb4e4ad5a393f4941f378d4efc5beffa9fe7ed5fdb16c0290f16ee63d010dd45870e83eeef9aefca46fe6dd4f8dda2d1f7a4576f65a1a013bf6383d66bdce894af33e41539ce3ce9c37088ab87211c990afefff6501e3007150fef4589b41f389e5dc9097bf26be172a047e08d41d0509558aa54b01f1ee1c6de4c00df4350c5e74e6e1b29e3006938b612be921ef22ac", 0xba, 0x637) 10:16:29 executing program 2: r0 = syz_mount_image$tmpfs(0x0, &(0x7f0000000000)='./file0\x00', 0x40000000000003, 0x0, 0x0, 0x0, 0x0) stat(&(0x7f0000000080)='./file0\x00', &(0x7f00000001c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) setreuid(r1, r1) setreuid(0x0, 0x0) fstat(r0, &(0x7f00000004c0)={0x0, 0x0, 0x0, 0x0, 0x0}) getsockopt$inet6_IPV6_IPSEC_POLICY(0xffffffffffffffff, 0x29, 0x22, &(0x7f0000000540)={{{@in=@local, @in=@broadcast, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in6=@dev}, 0x0, @in=@private}}, &(0x7f0000000640)=0xe8) getsockopt$inet6_IPV6_IPSEC_POLICY(r0, 0x29, 0x22, &(0x7f00000006c0)={{{@in, @in=@private, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in=@broadcast}, 0x0, @in=@private}}, &(0x7f00000007c0)=0xe8) setxattr$system_posix_acl(&(0x7f0000000400)='./file0\x00', &(0x7f0000000440)='system.posix_acl_default\x00', &(0x7f0000000800)={{}, {0x1, 0x7}, [{0x2, 0x7}, {0x2, 0x5, r3}, {0x2, 0x0, r1}, {0x2, 0x4, r4}, {0x2, 0x3, r5}, {0x2, 0x1, r1}], {0x4, 0xa}, [{0x8, 0x2, r2}], {0x10, 0x2}}, 0x5c, 0x1) r6 = openat$incfs(r0, &(0x7f0000000040)='.pending_reads\x00', 0x4080, 0x16) unlink(&(0x7f0000000180)='./file0\x00') getsockopt$inet6_IPV6_IPSEC_POLICY(0xffffffffffffffff, 0x29, 0x22, &(0x7f0000000240)={{{@in6=@mcast1, @in6=@empty, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in6=@empty}, 0x0, @in6=@loopback}}, &(0x7f0000000140)=0xe8) write$P9_RGETATTR(r6, &(0x7f0000000340)={0xa0, 0x19, 0x2, {0x1410, {0x0, 0x4, 0x2}, 0x108, r7, r2, 0xfffffffffffffff7, 0x8, 0x2, 0x38, 0x97, 0xffffffff, 0x0, 0xed1, 0x2, 0x7ec, 0x0, 0x9, 0x0, 0x7f5d, 0xfffffffffffffff8}}, 0xa0) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000001500)={0x0, 0x0}) syz_open_procfs(r8, &(0x7f0000001540)='net/protocols\x00') msgctl$IPC_SET(0x0, 0x1, &(0x7f00000000c0)={{0x0, r1, r2, r1, r2, 0x73, 0x4}, 0x0, 0x0, 0x6, 0x7, 0x10000, 0x1f, 0x1, 0x6, 0x5, 0x4, r8}) 10:16:29 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x37, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:29 executing program 3: socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(0xffffffffffffffff, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:16:29 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev}]}]}, 0xfc}}, 0x0) [ 1222.707014] loop4: detected capacity change from 0 to 2224 10:16:29 executing program 6: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x100000, 0x19, &(0x7f0000000200)=[{&(0x7f0000010000)="200000000002000019000000500100000f000000000000000100000005000000000004000040000020000000e1f4655fe1f4655f0100ffff53ef010001000000e0f4655f000000000000000001000000000000000b0000008000000018000000c20500002b0200000000000000000000000000000000000073797a6b616c6c6572000000000000002f746d702f73797a2d696d61676567656e38373635383433343000"/192, 0xc0, 0x400}, {&(0x7f0000010100)="000000000000000000000000a4d0099b099d46eeb5a6d2ef5e9d5ded010040000c00000000000000e0f4655f00"/64, 0x40, 0x4e0}, {&(0x7f0000010200)="0100000000000500400000000000000000000000000000004700000000000000", 0x20, 0x560}, {&(0x7f0000010300)="02000000030000000400000015000f0003000400"/32, 0x20, 0x800}, {&(0x7f0000010400)="ff070000ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff0100ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff0000000000000000e0f4655fe0f4655fe0f4655f00"/4128, 0x1020, 0x1000}, {&(0x7f0000011500)="ed41000000080000e0f4655fe1f4655fe1f4655f00000000000004004000000000000800050000000af301000400000000000000000000000100000010000000", 0x40, 0x2080}, {&(0x7f0000011600)="8081000000601020e0f4655fe0f4655fe0f4655f00000000000001004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000030000000", 0x60, 0x2300}, {&(0x7f0000011700)="c041000000400000e0f4655fe0f4655fe0f4655f00000000000002004000000000000800000000000af301000400000000000000000000000800000020000000", 0x40, 0x2500}, {&(0x7f0000011800)="ed41000000080000e1f4655fe1f4655fe1f4655f00000000000002004000000000000800030000000af301000400000000000000000000000100000050000000000000000000000000000000000000000000000000000000000000000000000000000000ba56a6e5000000000000000000000000000000000000000000000000ed8100001a040000e1f4655fe1f4655fe1f4655f00000000000001004000000000000800010000000af30100040000000000000000000000010000006000000000000000000000000000000000000000000000000000000000000000000000000000000078ce27cf000000000000000000000000000000000000000000000000ffa1000026000000e1f4655fe1f4655fe1f4655f00000000000001000000000000000000010000002f746d702f73797a2d696d61676567656e3837363538343334302f66696c65302f66696c653000000000000000000000000000000000000000000000ee3520d6000000000000000000000000000000000000000000000000ed8100000a000000e1f4655fe1f4655fe1f4655f00000000000001008000000000000800010000000af301000400000000000000000000000100000070000000000000000000000000000000000000000000000000000000000000000000000000000000070f9333800000000000000000000000000000000000000000000000ed81000028230000e1f4655fe1f4655fe1f4655f00000000000002004000000000000800010000000af301000400000000000000000000000500000090000000000000000000000000000000000000000000000000000000000000000000000000000000a9530bd8000000000000000000000000000000000000000000000000ed81000064000000e1f4655fe1f4655fe1f4655f00000000000001004000000000000800010000000af3010004000000000000000000000001000000a0000000000000000000000000000000000000000000000000000000000000000000000000000000a1aee7ad00"/768, 0x300, 0x2580}, {&(0x7f0000011b00)="020000000c0001022e000000020000000c0002022e2e00000b00000014000a026c6f73742b666f756e6400000c0000001000050266696c65300000000f0000001000050166696c6531000000100000001000050166696c6532000000100000001000050166696c6533000000110000009407090166696c652e636f6c64000000", 0x80, 0x8000}, {&(0x7f0000011c00)="0b0000000c0001022e00000002000000f40702022e2e00"/32, 0x20, 0x10000}, {&(0x7f0000011d00)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x10800}, {&(0x7f0000011e00)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x11000}, {&(0x7f0000011f00)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x11800}, {&(0x7f0000012000)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x12000}, {&(0x7f0000012100)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x12800}, {&(0x7f0000012200)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x13000}, {&(0x7f0000012300)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x13800}, {&(0x7f0000012400)="504d4d00504d4dffe1f4655f00000000647679756b6f762d676c6170746f70320000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006c6f6f7032390075782f746573742f73797a5f6d6f756e745f696d6167655f650500"/128, 0x80, 0x20000}, {&(0x7f0000012500)="0c0000000c0001022e000000020000000c0002022e2e00000d0000001000050166696c65300000000e000000d807050766696c653100"/64, 0x40, 0x28000}, {&(0x7f0000012600)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x30000}, {&(0x7f0000012b00)='syzkallers\x00'/32, 0x20, 0x38000}, {&(0x7f0000012c00)="000002ea0100000001000000270f240c000000000000000000000000000000000601f8070000000006000000779b539778617474723100000601f00700000000060000007498539778617474723200"/96, 0x60, 0x40000}, {&(0x7f0000012d00)='\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00xattr2\x00\x00xattr1\x00\x00', 0x20, 0x407e0}, {&(0x7f0000012e00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x50000}], 0x0, &(0x7f0000012f00)) lsetxattr$trusted_overlay_origin(&(0x7f0000000040)='./file0\x00', &(0x7f0000000080), &(0x7f00000000c0), 0x2, 0x3) 10:16:29 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev}]}]}, 0xfc}}, 0x0) [ 1222.768608] isofs_fill_super: get root inode failed 10:16:29 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(0xffffffffffffffff, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r1}, @void}}}, 0x1c}}, 0x0) 10:16:29 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, 0x0, 0x0) [ 1222.795900] loop6: detected capacity change from 0 to 2048 10:16:29 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_SIOCDARP(r0, 0x8953, &(0x7f0000000000)={{0x2, 0x4e21, @private=0xa010101}, {0x1, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x5}}, 0x8, {0x2, 0x4e23, @multicast1}, 'veth0_to_bridge\x00'}) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) [ 1222.858132] EXT4-fs (loop6): mounted filesystem without journal. Quota mode: none. [ 1222.858866] ext4 filesystem being mounted at /syzkaller-testdir354506146/syzkaller.bdUbq8/147/file0 supports timestamps until 2038 (0x7fffffff) 10:16:44 executing program 7: seccomp$SECCOMP_SET_MODE_FILTER_LISTENER(0x1, 0x0, &(0x7f0000000040)={0x1, &(0x7f0000000100)=[{0x6, 0x0, 0x0, 0x7fff0003}]}) socketpair$unix(0x1, 0x5, 0x0, &(0x7f00000003c0)={0xffffffffffffffff, 0xffffffffffffffff}) bind$unix(r1, &(0x7f0000000140)=@file={0x0, './file0\x00'}, 0x6e) bind$unix(r0, &(0x7f0000000c80)=@file={0x1, './file0\x00'}, 0x6e) renameat(0xffffffffffffff9c, &(0x7f0000000080)='./file0\x00', 0xffffffffffffff9c, &(0x7f00000000c0)='./file0\x00') 10:16:44 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev}]}]}, 0xfc}}, 0x0) 10:16:44 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, 0x0, 0x0) 10:16:44 executing program 6: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x4042, 0x0) setresuid(0x0, 0x0, 0x0) fchown(0xffffffffffffffff, 0x0, 0x0) r1 = dup(r0) stat(&(0x7f00000000c0)='./cgroup/cgroup.procs\x00', &(0x7f0000000180)={0x0, 0x0, 0x0, 0x0, 0x0}) setresuid(0x0, r2, 0x0) fchown(r1, r2, 0xee01) r3 = openat$zero(0xffffffffffffff9c, 0x0, 0x40000, 0x0) io_submit(0x0, 0x4, &(0x7f0000000b80)=[&(0x7f0000000040)={0x0, 0x0, 0x0, 0x0, 0x101, 0xffffffffffffffff, &(0x7f0000000000)="e771ec9df70c771f122117c9e39600104db8c9d08c1a87a5dc1c3839488fa9", 0x1f}, &(0x7f0000000240)={0x0, 0x0, 0x0, 0x2, 0x5, 0xffffffffffffffff, &(0x7f00000000c0), 0x0, 0x1000}, &(0x7f0000000400)={0x0, 0x0, 0x0, 0x0, 0x1, 0xffffffffffffffff, &(0x7f0000000300), 0x0, 0x101, 0x0, 0x1, r3}, &(0x7f0000000500)={0x0, 0x0, 0x0, 0x1, 0x164d, r0, &(0x7f0000000440)="3eb19aa62f82c30a5b83e3069bd3f03710f3f38c48851006739b910e8be79ac4263864a35ef8f409ce997888e5696b523366aad0b1ee8271c18a7b2a8d10a9ea037f7c597c07b84f8e431f4f77e514987168ed05db58a7a176ade9042ba981dfb76cb4140aec4704f8ca9ece58a4124def3b2a69eb8c1dd229c4c15f4d9e613361be58377f62b4354198b97144f0b721b036b41e991f1eb42c8b1866c2fbcac1b7371487d137371046e9d100ccec1443c74044f94ed55e6549528f7157d8bbf8", 0xc0, 0x8001, 0x0, 0x2, r0}]) fallocate(r0, 0x0, 0x0, 0x8800000) 10:16:44 executing program 2: r0 = syz_mount_image$tmpfs(0x0, &(0x7f0000000000)='./file0\x00', 0x40000000000003, 0x0, 0x0, 0x0, 0x0) stat(&(0x7f0000000080)='./file0\x00', &(0x7f00000001c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) setreuid(r1, r1) setreuid(0x0, 0x0) fstat(r0, &(0x7f00000004c0)={0x0, 0x0, 0x0, 0x0, 0x0}) getsockopt$inet6_IPV6_IPSEC_POLICY(0xffffffffffffffff, 0x29, 0x22, &(0x7f0000000540)={{{@in=@local, @in=@broadcast, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in6=@dev}, 0x0, @in=@private}}, &(0x7f0000000640)=0xe8) getsockopt$inet6_IPV6_IPSEC_POLICY(r0, 0x29, 0x22, &(0x7f00000006c0)={{{@in, @in=@private, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in=@broadcast}, 0x0, @in=@private}}, &(0x7f00000007c0)=0xe8) setxattr$system_posix_acl(&(0x7f0000000400)='./file0\x00', &(0x7f0000000440)='system.posix_acl_default\x00', &(0x7f0000000800)={{}, {0x1, 0x7}, [{0x2, 0x7}, {0x2, 0x5, r3}, {0x2, 0x0, r1}, {0x2, 0x4, r4}, {0x2, 0x3, r5}, {0x2, 0x1, r1}], {0x4, 0xa}, [{0x8, 0x2, r2}], {0x10, 0x2}}, 0x5c, 0x1) r6 = openat$incfs(r0, &(0x7f0000000040)='.pending_reads\x00', 0x4080, 0x16) unlink(&(0x7f0000000180)='./file0\x00') getsockopt$inet6_IPV6_IPSEC_POLICY(0xffffffffffffffff, 0x29, 0x22, &(0x7f0000000240)={{{@in6=@mcast1, @in6=@empty, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in6=@empty}, 0x0, @in6=@loopback}}, &(0x7f0000000140)=0xe8) write$P9_RGETATTR(r6, &(0x7f0000000340)={0xa0, 0x19, 0x2, {0x1410, {0x0, 0x4, 0x2}, 0x108, r7, r2, 0xfffffffffffffff7, 0x8, 0x2, 0x38, 0x97, 0xffffffff, 0x0, 0xed1, 0x2, 0x7ec, 0x0, 0x9, 0x0, 0x7f5d, 0xfffffffffffffff8}}, 0xa0) fcntl$getownex(0xffffffffffffffff, 0x10, &(0x7f0000001500)={0x0, 0x0}) syz_open_procfs(r8, &(0x7f0000001540)='net/protocols\x00') msgctl$IPC_SET(0x0, 0x1, &(0x7f00000000c0)={{0x0, r1, r2, r1, r2, 0x73, 0x4}, 0x0, 0x0, 0x6, 0x7, 0x10000, 0x1f, 0x1, 0x6, 0x5, 0x4, r8}) 10:16:44 executing program 0: r0 = socket$inet_udp(0x2, 0x2, 0x0) ioctl$sock_inet_SIOCDARP(r0, 0x8953, &(0x7f0000000000)={{0x2, 0x4e21, @private=0xa010101}, {0x1, @dev={'\xaa\xaa\xaa\xaa\xaa', 0x5}}, 0x8, {0x2, 0x4e23, @multicast1}, 'veth0_to_bridge\x00'}) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:16:44 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, 0x0) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8}, @void}}}, 0x1c}}, 0x0) 10:16:44 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {0x0, 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1237.220619] loop4: detected capacity change from 0 to 2232 [ 1237.250471] isofs_fill_super: get root inode failed 10:16:44 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, 0x0) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8}, @void}}}, 0x1c}}, 0x0) 10:16:44 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, 0x0, 0x0) 10:16:44 executing program 0: socket$inet_udp(0x2, 0x2, 0x0) r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:16:44 executing program 2: syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) mount(0x0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000180)='hugetlbfs\x00', 0x0, 0x0) statfs(&(0x7f0000000700)='./file0\x00', &(0x7f0000000740)=""/4096) r0 = creat(&(0x7f0000000000)='./file0/file0\x00', 0x20) recvfrom$unix(r0, &(0x7f0000001740)=""/4096, 0x1000, 0x12120, &(0x7f0000000040)=@abs={0x1, 0x0, 0x4e22}, 0x6e) mknodat$loop(r0, &(0x7f00000000c0)='./file0/file0/../file0\x00', 0x20b, 0x1) 10:16:44 executing program 7: r0 = add_key$keyring(&(0x7f0000000240), &(0x7f0000000280)={'syz', 0x0}, 0x0, 0x0, 0xfffffffffffffffd) keyctl$update(0x2, r0, 0x0, 0x0) keyctl$search(0xa, r0, &(0x7f0000000080)='cifs.spnego\x00', &(0x7f0000000140)={'syz', 0x0}, 0xfffffffffffffffe) r1 = openat$tun(0xffffffffffffff9c, &(0x7f0000000280), 0x0, 0x0) syz_io_uring_setup(0x10, &(0x7f0000000000)={0x0, 0x0, 0x0, 0x0, 0x39a}, &(0x7f0000ffc000/0x3000)=nil, &(0x7f0000ffe000/0x1000)=nil, 0x0, 0x0) ioctl$TUNSETIFF(r1, 0x400454ca, &(0x7f0000000000)={'rose0\x00'}) r2 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) r3 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000040)='/proc/keys\x00', 0x0, 0x0) preadv(r3, &(0x7f00000011c0)=[{&(0x7f0000000180)=""/4096, 0x1000}], 0x1, 0x7, 0x0) r4 = socket$inet6_udp(0xa, 0x2, 0x0) add_key$keyring(&(0x7f0000001300), &(0x7f0000001340)={'syz', 0x3}, 0x0, 0x0, r0) r5 = socket$nl_audit(0x10, 0x3, 0x9) ioctl$sock_SIOCGIFINDEX(r5, 0x8933, &(0x7f0000000340)={'sit0\x00', 0x0}) sendmmsg$inet(r4, &(0x7f0000002600)=[{{&(0x7f0000000100)={0x2, 0x4e24, @loopback}, 0x10, 0x0, 0x0, &(0x7f0000002500)=[@ip_pktinfo={{0x1c, 0x0, 0x8, {r6, @dev, @broadcast}}}], 0x20}}], 0x1, 0x0) ioctl$sock_inet6_SIOCSIFADDR(r2, 0x8916, &(0x7f00000000c0)={@private2, 0x1c, r6}) ioctl$sock_SIOCSIFVLAN_DEL_VLAN_CMD(r5, 0x8983, &(0x7f00000012c0)={0x1, 'macsec0\x00', {}, 0x5}) dup3(r2, r1, 0x0) keyctl$revoke(0x3, 0x0) add_key$keyring(&(0x7f0000001180), &(0x7f0000001200)={'syz', 0x3}, 0x0, 0x0, r0) 10:16:44 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {0x0, 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:44 executing program 6: r0 = syz_init_net_socket$802154_dgram(0x24, 0x2, 0x0) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) getsockopt$WPAN_SECURITY_LEVEL(0xffffffffffffffff, 0x0, 0x2, &(0x7f0000000000), &(0x7f0000000040)=0x4) sendmsg$sock(r0, &(0x7f0000000280)={0x0, 0x0, 0x0}, 0x20008001) sendmsg$OSF_MSG_REMOVE(r1, &(0x7f0000000100)={&(0x7f0000000080)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f00000000c0)={&(0x7f00000002c0)={0x1060, 0x1, 0x5, 0x101, 0x0, 0x0, {0x7, 0x0, 0x3}, [{{0x254, 0x1, {{0x2, 0x8}, 0x0, 0x2, 0x30, 0xe1, 0x23, 'syz0\x00', "a5ad6605f9a6fdd679f618e76963b75996f795ccf7fd55de26a54a13c2b78212", "50667a3180dab18080d6e1db060d01f9143c0ac3d2d4dc689d4ffb07a712d421", [{0x7009, 0x9, {0x3, 0x8}}, {0xcb5b, 0x5, {0x3, 0xffffffff}}, {0x3, 0x8000, {0x3, 0x5}}, {0x8, 0x800, {0x1, 0x5}}, {0x81, 0xf0f, {0x2, 0x5}}, {0x6, 0x7, {0x3, 0xfbf}}, {0x20, 0x101, {0x1, 0x9}}, {0x20, 0x0, {0x0, 0x6}}, {0x3, 0x0, {0x1, 0xf8}}, {0xc2bf, 0x80, {0x0, 0xc2e}}, {0x8d, 0x8001, {0x2, 0x9}}, {0x35aa, 0x3, {0x2, 0x80000001}}, {0x3, 0xffc0, {0x3, 0x6}}, {0x2, 0x4, {0xe89d641e81f3dafe, 0x5}}, {0x2, 0x3, {0x3, 0x3f}}, {0x5, 0x80, {0x1, 0x5}}, {0x100, 0x8001, {0x0, 0x100}}, {0x7, 0x101, {0x2, 0x5}}, {0x2, 0x8, {0x1, 0x8}}, {0x7, 0xfff7, {0x2, 0xb31}}, {0x0, 0x8001, {0x1, 0x6}}, {0x8, 0x0, {0x2, 0xfffffffd}}, {0x855, 0x1, {0x0, 0x4}}, {0x20, 0x200, {0x2}}, {0x3, 0x1, {0x0, 0x5}}, {0x2, 0x7, {0x1, 0x9}}, {0x6b81, 0x8, {0x3, 0x6000000}}, {0x9, 0xaa, {0x1}}, {0x8, 0x3, {0x2, 0x2}}, {0x0, 0x3, {0x3}}, {0xff, 0x7, {0x2, 0x800}}, {0x8000, 0x6, {0x2, 0x5}}, {0x4, 0x5, {0x1, 0x1}}, {0xc3d, 0x1, {0x0, 0xffffff9e}}, {0x0, 0x1, {0x3, 0x6}}, {0x861e, 0xffff, {0x2}}, {0x7fff, 0xc04, {0x11fc1ce8d18f3e50, 0x1}}, {0x5, 0x0, {0x2, 0x4}}, {0x56cf, 0x3, {0x0, 0x1}}, {0x74, 0x8774, {0x1, 0x7ff}}]}}}, {{0x254, 0x1, {{0x0, 0x3f}, 0x0, 0x9f, 0x0, 0xffdd, 0x5, 'syz1\x00', "20df1507dc37bbb343d46aad7e2f265f6aa9a5f96590ac44ad91befad539f872", "b4d13e49bf0d68051f88cc14e438ce04e8b837c1b066132f6fb23d680bc1de83", [{0x8, 0x0, {0x1, 0x5}}, {0xfffe, 0xfde6, {0x2, 0x6}}, {0x1, 0x101, {0x2, 0x800}}, {0x1, 0x8, {0x1, 0x8}}, {0x3, 0x8, {0x3, 0x3}}, {0x7ff, 0x40, {0x2, 0x6}}, {0x1, 0x3, {0x2, 0x9}}, {0xbec0, 0xf445, {0x0, 0x5}}, {0x7, 0x8, {0x2, 0x10000}}, {0x8, 0xb2, {0x3}}, {0xdb, 0x3ff, {0x2, 0x6}}, {0x1ff, 0xf595, {0x3, 0x606e}}, {0xb8c0, 0x100, {0x2}}, {0x3, 0x8, {0x2, 0x1fa02d91}}, {0x8, 0x1, {0x1, 0x7}}, {0xfff, 0x3, {0x3, 0x8}}, {0x1ff, 0x101, {0x3, 0x1ff}}, {0x1, 0x2, {0x2, 0x6}}, {0x0, 0x7, {0x3, 0x6e}}, {0x1, 0x2, {0x0, 0x3}}, {0x4, 0x6, {0x0, 0x9}}, {0x2, 0x5, {0x0, 0x41}}, {0x8, 0x7, {0x2, 0x4}}, {0x4, 0x9d9, {0x3, 0x8000}}, {0x6, 0x80, {0x1, 0xd5}}, {0x7f, 0x100, {0x1, 0x80000001}}, {0x7, 0x4, {0x0, 0x500}}, {0xca, 0x5, {0x1, 0x3ff}}, {0x1000, 0x8, {0x0, 0xc95}}, {0x9, 0x1f}, {0x3, 0x9, {0x0, 0x5}}, {0x100, 0x9, {0x2, 0x5}}, {0x7ff, 0x9, {0x3, 0x8}}, {0x9, 0x4f, {0x2, 0x1ff}}, {0x8, 0x1, {0x1}}, {0x4, 0x8, {0x0, 0x10001}}, {0x4c, 0x80, {0x1}}, {0x4, 0x5, {0x1, 0x9}}, {0x7547, 0x6, {0x1, 0x2}}, {0x79d9, 0x3, {0x3, 0x1000}}]}}}, {{0x254, 0x1, {{0x3, 0x1}, 0x1, 0x8, 0x9, 0x4, 0x21, 'syz0\x00', "ccaa59c51e236fdc56b990cb5b452f97d077abc09b301d11b8a6dd7a85828af8", "6bf4a746d01557675bb68d597613d4718dc5104074d668b4348e0e71cfa3c929", [{0x3, 0x97, {0x2, 0x3}}, {0x1, 0xfff, {0x3, 0x10000}}, {0x8, 0xffff, {0x1}}, {0x6, 0x3f, {0x1, 0x4}}, {0x1f, 0x800, {0x3, 0xff}}, {0x6, 0x8, {0x3, 0x7}}, {0x9, 0x9}, {0x95, 0x46df, {0x0, 0xffffff80}}, {0x0, 0x4, {0x1, 0x4}}, {0xf001, 0x6, {0x1, 0x7fff}}, {0x8, 0x2, {0x2, 0xffffffff}}, {0x8, 0x7f, {0x0, 0x1000}}, {0x1010, 0x39, {0x3, 0x80000000}}, {0x1ff, 0x7f, {0x1, 0x1}}, {0x4, 0xff, {0x3, 0x7}}, {0xae, 0x5, {0x1, 0x2}}, {0x8, 0x4, {0x1, 0x8001}}, {0x6, 0x0, {0x0, 0x9}}, {0x9, 0x3, {0x3, 0x7}}, {0xfff9, 0x1, {0x0, 0x20}}, {0x6, 0x9b91, {0x3, 0x8}}, {0x4, 0x7, {0x1, 0x3}}, {0x1, 0x1, {0x2, 0x8}}, {0x5, 0x0, {0x3, 0xffffffff}}, {0x7fff, 0xfffe, {0x3, 0x7f}}, {0x1, 0xfff, {0x2, 0x7}}, {0x9a, 0x71, {0x1, 0x40b}}, {0xffc1, 0x8, {0x3, 0x7}}, {0x8001, 0x6, {0x2, 0x2}}, {0x9, 0x5, {0x2, 0x15}}, {0x5, 0x709c, {0x0, 0x8}}, {0xfb0, 0x3, {0x1, 0x9}}, {0xf4, 0x0, {0x3, 0xfffffe75}}, {0x1000, 0x1000, {0x3, 0xfff}}, {0x2d, 0x5, {0x2, 0xfff}}, {0x7fff, 0x6, {0x1, 0x1f}}, {0x400, 0x0, {0x2, 0xffff}}, {0x1, 0x8, {0x1, 0x6}}, {0x8, 0x6, {0x0, 0x6}}, {0x8, 0x8, {0x0, 0x10000}}]}}}, {{0x254, 0x1, {{0x1, 0x688}, 0xfe, 0x4, 0x6, 0x800, 0x21, 'syz0\x00', "d4ef1402f2bb218137c874c1589d2456a66240162eb3a1a0508c9dc4908e67ca", "f28dfa88ec31b5c4741240fd57d66a5e3dae0c1c18cfc5f66d31a40b57b8983f", [{0x8, 0x1f, {0x2, 0x7}}, {0x1, 0x7ff, {0x3, 0x7fff}}, {0x1f, 0x923, {0x2, 0x5}}, {0x3f, 0x0, {0x0, 0x8}}, {0xf40, 0x1e, {0x1, 0xd4ef}}, {0x5, 0x9, {0x1, 0xef}}, {0x1, 0x400, {0x2}}, {0xc4, 0x0, {0x1}}, {0x6, 0x3, {0x1, 0xfffffe00}}, {0x4, 0x9, {0x3, 0x5}}, {0x7, 0x7fff, {0x3, 0x3}}, {0x81, 0x4, {0x2, 0xf2d5}}, {0x7f, 0x7, {0x1, 0x4000}}, {0x101, 0x101, {0x1, 0x1}}, {0x0, 0xedb8, {0x3, 0x9}}, {0x0, 0x1000, {0x1, 0x6}}, {0x0, 0x7, {0x2, 0x8}}, {0x9, 0x7, {0x0, 0x5}}, {0x800, 0x6, {0x2, 0x6}}, {0x5, 0x22, {0x2, 0x1}}, {0x40, 0x4, {0x1, 0x607}}, {0x97, 0x4, {0x0, 0x9}}, {0xb05, 0x7, {0x2, 0x5}}, {0x9, 0x5, {0x1, 0x4}}, {0x5e10, 0x1c4e, {0x1, 0x1}}, {0xd0, 0x20, {0x2, 0x1}}, {0x8, 0x6, {0x3, 0xc648}}, {0x7f, 0x40, {0x2, 0x1f}}, {0x7ff, 0x200, {0x2, 0xffff0000}}, {0x855, 0x4a, {0x1}}, {0x8, 0x93f, {0x2, 0x401}}, {0xcd, 0x7, {0x2, 0x5}}, {0x200, 0xfd, {0x0, 0x1}}, {0x8000, 0x800, {0x2, 0x6}}, {0x5b, 0x9, {0x2, 0x1}}, {0x4, 0xab3, {0x3}}, {0x40, 0xb3, {0x2, 0x1}}, {0xfffe, 0x3ff, {0x3}}, {0x401, 0x9, {0x3}}, {0x8, 0x3, {0x3, 0x200}}]}}}, {{0x254, 0x1, {{0x0, 0xf6f}, 0x40, 0x7, 0x8, 0xfff, 0x1, 'syz0\x00', "91167c72dbd58df693fa5d0e15d72ed8945962f05340d489866b6b002717a19e", "f987cf8f9800b058c8e9df5fa365ad821fed4e7e6f8e10af15f854602401dfb9", [{0x8, 0x0, {0x1, 0x40}}, {0xee4, 0x2b0, {0x1, 0x6}}, {0x2, 0x6, {0x1, 0xdc}}, {0x800, 0x2, {0x1, 0x5}}, {0x1ff, 0x9, {0x1, 0x40}}, {0x1, 0xfbff, {0x1, 0x8000}}, {0x2, 0x76fb, {0x2, 0xfffffffd}}, {0x3ff, 0x400, {0x3, 0x8}}, {0x7, 0x5, {0x2, 0xd12}}, {0xfe4, 0x0, {0x2, 0x81}}, {0x3f, 0x7, {0x0, 0x7fff}}, {0x200, 0xfff, {0x3, 0x8}}, {0xaa30, 0x5, {0x3, 0x3}}, {0x3, 0x3, {0x2, 0x7}}, {0x7, 0x2, {0x2, 0x21}}, {0xff, 0xfffe, {0x3, 0x681}}, {0x4, 0x47, {0x3}}, {0x0, 0x8b8f, {0x2}}, {0x2, 0x1000, {0x1}}, {0x20, 0x0, {0x1, 0x3}}, {0x8eb1, 0x800, {0x1, 0x5}}, {0x5e3e, 0x3ff, {0x1, 0x93}}, {0x1000, 0x2, {0x1, 0x4}}, {0xba9, 0x1, {0x3, 0x1}}, {0x101, 0x1000, {0x3, 0xfffffffc}}, {0x6, 0x6, {0x2, 0x6}}, {0x7ff, 0x8, {0x0, 0x1}}, {0x0, 0xfff, {0x0, 0x5}}, {0x6d9b, 0x5, {0x0, 0x7}}, {0x8, 0x5, {0x1, 0x101}}, {0x1, 0x4, {0x0, 0x4}}, {0x5, 0x7fff, {0x3, 0xf927}}, {0x2aba, 0x4, {0x3, 0x4}}, {0x910, 0x6, {0x0, 0x3}}, {0xffff, 0x5, {0x1, 0x7ff}}, {0x8, 0x3, {0x3, 0x6}}, {0x9, 0x1ff, {0x2, 0x1}}, {0x3f, 0x1ff, {0xbdd3df68fc769d71, 0x9}}, {0x1, 0x0, {0x2}}, {0x7, 0xfff9, {0x0, 0x80000001}}]}}}, {{0x254, 0x1, {{0x3}, 0x7, 0x7, 0x5, 0x2, 0xf, 'syz1\x00', "4e524983fc4591dd21a12b3561fdfc4cf36e9f724fb021ae7f9978d3b2dbe381", "1c27eeb7afd372218344d6e93d42c2aa98267946f86064d9e99c8cd3d0964330", [{0x5, 0x7, {0x3, 0x6}}, {0x7e4d, 0x800, {0x1, 0xfff}}, {0xcb, 0x1, {0x0, 0xb0ad}}, {0xb9, 0x800, {0x1, 0x5}}, {0x1f, 0x9, {0x1, 0x1}}, {0x5, 0x8, {0x2, 0x17}}, {0x3f, 0x8, {0x0, 0x5}}, {0x8001, 0xfff, {0x1, 0x57}}, {0x200, 0x5, {0x3, 0x40}}, {0x5, 0x3, {0x0, 0x7}}, {0x1, 0x8001, {0x0, 0x7ff}}, {0x1, 0x152, {0x2, 0x10000}}, {0x5, 0x5e9, {0x2, 0x4}}, {0x88, 0x4, {0x0, 0x8}}, {0x0, 0x8, {0x2, 0xdc22}}, {0x3, 0x2, {0x2, 0x9}}, {0x81, 0x6, {0x1, 0x2}}, {0x2, 0x40, {0x2, 0x4}}, {0x4004, 0x1000, {0x1, 0x81}}, {0x5, 0x1, {0x3, 0xbfc7}}, {0x81, 0x7, {0x1}}, {0x81, 0x3ff, {0x2, 0x2}}, {0x9, 0x7, {0x0, 0x9}}, {0x8, 0x8, {0x0, 0x9}}, {0x7, 0x3, {0x2, 0x800}}, {0xb9b, 0x4, {0x2, 0x9}}, {0x814, 0x3dcb, {0x1, 0x9}}, {0x3ff, 0x7, {0x3, 0x4}}, {0x3, 0x9, {0x3}}, {0x8000, 0x4, {0xd7b0462631aa6c9a, 0x3}}, {0xcec, 0x4, {0x1, 0x3f}}, {0x1, 0x5, {0x1, 0xc08}}, {0x0, 0x4, {0x0, 0x100}}, {0x7, 0x7, {0x2, 0x1}}, {0x95, 0x1, {0x7, 0x6}}, {0x3, 0xfffe, {0x0, 0x3}}, {0x9, 0x1, {0x0, 0x327787f9}}, {0xf000, 0x7, {0x3}}, {0x1, 0x81, {0x0, 0x3}}, {0x0, 0x7fff, {0x3, 0x753}}]}}}, {{0x254, 0x1, {{0x1, 0x5}, 0x0, 0x80, 0x7ff, 0x1, 0x1e, 'syz0\x00', "a64c1d0e3ce1d7ac9658e676045367f357fe3cac9da051749fa0e6f3f14a635f", "197e43f39d845e90ea313d364808f8182056987793eb912409e2dfc84ab143a8", [{0x4, 0x4165, {0x2, 0x2}}, {0x9, 0x0, {0x1, 0x9}}, {0x6, 0xb27c, {0x2}}, {0x1, 0x20, {0x0, 0x800}}, {0x7, 0x3f, {0x0, 0x3}}, {0x4, 0x3ff, {0x2, 0x3}}, {0x79, 0x5a1, {0x2, 0x101}}, {0xd10, 0x5, {0x0, 0x7f}}, {0x3ff, 0xc4e, {0xc6a2d5c057414201, 0x23a1c542}}, {0x1, 0x7f, {0x2, 0xc5b0}}, {0x1, 0xe34, {0x0, 0x2}}, {0x8, 0x6, {0x3, 0x2}}, {0x3, 0x8001, {0x0, 0x6f}}, {0x7fff, 0x40, {0x1, 0x100}}, {0xd8, 0x1000, {0x3, 0x7ff}}, {0x8, 0x5, {0x2, 0x35c}}, {0x4, 0x9, {0x0, 0x101}}, {0x8000, 0x9282, {0x3, 0x3}}, {0x32, 0x6, {0x2, 0x1}}, {0x8, 0x0, {0x2, 0xf705}}, {0xb10b, 0x866, {0x1, 0x2bce}}, {0x20, 0xf8d0, {0x1, 0x20}}, {0x800, 0x7fff, {0x0, 0x2}}, {0x6, 0x8, {0x2, 0x1}}, {0x6, 0xfe00, {0x3, 0x3}}, {0x0, 0x9, {0x1, 0x2}}, {0x3, 0xffff, {0xa4718ff2dc4fde63, 0x4}}, {0x3, 0x2, {0x1, 0x2}}, {0x2, 0x8, {0x1, 0x6}}, {0x0, 0x7f, {0x3, 0x7fffffff}}, {0x2, 0x4, {0x0, 0xfffeffff}}, {0xce6, 0x2, {0x1, 0x10001}}, {0x1, 0xff, {0x2, 0x100}}, {0x0, 0x3, {0x3}}, {0x100, 0x56, {0x1, 0x2ba8}}, {0x0, 0x800, {0x2, 0x23}}, {0x4, 0x1, {0x0, 0xbe}}, {0xfffb, 0x8001, {0x1, 0x5}}, {0x2, 0x0, {0x2, 0x7}}, {0x3, 0x4, {0x2, 0xc0000}}]}}}]}, 0x1060}, 0x1, 0x0, 0x0, 0x20000800}, 0x40000044) [ 1237.445714] loop4: detected capacity change from 0 to 2232 10:16:44 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, 0x0}, 0x0) 10:16:44 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, 0x0) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8}, @void}}}, 0x1c}}, 0x0) [ 1237.485747] isofs_fill_super: get root inode failed 10:16:44 executing program 6: syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) 10:16:44 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {0x0, 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:44 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, 0x0}, 0x0) 10:16:44 executing program 2: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r1 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) r2 = syz_genetlink_get_family_id$netlbl_mgmt(&(0x7f0000000040), r0) sendmsg$NLBL_MGMT_C_ADDDEF(r1, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000100)={&(0x7f0000000200)=ANY=[@ANYBLOB="381d0010a6ff6b84549c90a750644afcd5837b0ec9a085af99cb2676535e0873a8", @ANYRES16=r2, @ANYBLOB="0100000000000000000004000000080002000000000008000700e000000214000500fe880000000000000000000000000001"], 0x38}}, 0x0) sendmsg$NLBL_MGMT_C_ADDDEF(r1, &(0x7f00000001c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x2}, 0xc, &(0x7f0000000180)={&(0x7f0000000080)={0x4c, 0x0, 0x800, 0x70bd2d, 0x25dfdbfd, {}, [@NLBL_MGMT_A_CV4DOI={0x8, 0x4, 0x2}, @NLBL_MGMT_A_FAMILY={0x6, 0xb, 0xd}, @NLBL_MGMT_A_CV4DOI={0x8, 0x4, 0x6ed19e1a00beae63}, @NLBL_MGMT_A_IPV4ADDR={0x8, 0x7, @dev={0xac, 0x14, 0x14, 0x20}}, @NLBL_MGMT_A_CLPDOI={0x8, 0xc, 0x1}, @NLBL_MGMT_A_DOMAIN={0x8, 0x1, '*\x15A\x00'}, @NLBL_MGMT_A_FAMILY={0x6, 0xb, 0x3}]}, 0x4c}, 0x1, 0x0, 0x0, 0x1}, 0x20000801) 10:16:44 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 1) 10:16:44 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(0x0, 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:16:44 executing program 6: syz_mount_image$vfat(0x0, &(0x7f00000003c0)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) chdir(&(0x7f0000000080)='./file0/file0\x00') stat(&(0x7f0000000040)='./file0\x00', &(0x7f0000001640)={0x0, 0x0, 0x0, 0x0, 0x0}) setresuid(0x0, r0, 0x0) open(&(0x7f0000000000)='./file0\x00', 0x531081, 0x0) [ 1237.694657] FAULT_INJECTION: forcing a failure. [ 1237.694657] name fail_usercopy, interval 1, probability 0, space 0, times 1 [ 1237.696429] CPU: 1 PID: 9091 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1237.697457] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1237.698821] Call Trace: [ 1237.699146] [ 1237.699434] dump_stack_lvl+0x8b/0xb3 [ 1237.699939] should_fail.cold+0x5/0xa [ 1237.700450] _copy_from_user+0x2e/0x170 [ 1237.701080] __copy_msghdr_from_user+0x91/0x4b0 [ 1237.701707] ? __ia32_sys_shutdown+0x70/0x70 [ 1237.702326] ? __lock_acquire+0xbac/0x5b70 [ 1237.702978] sendmsg_copy_msghdr+0xa1/0x160 [ 1237.703531] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1237.704112] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1237.704796] ? lock_release+0x3b2/0x6f0 [ 1237.705328] ? __might_fault+0xd1/0x170 [ 1237.705866] ___sys_sendmsg+0xc6/0x170 [ 1237.706387] ? sendmsg_copy_msghdr+0x160/0x160 [ 1237.706994] ? lock_release+0x3b2/0x6f0 [ 1237.707521] ? __fget_files+0x26b/0x470 [ 1237.708044] ? lock_downgrade+0x6d0/0x6d0 [ 1237.708593] ? lock_release+0x3b2/0x6f0 [ 1237.709107] ? ksys_write+0x212/0x250 [ 1237.709623] ? lock_downgrade+0x6d0/0x6d0 [ 1237.710179] ? __fget_files+0x28d/0x470 [ 1237.710712] ? __fget_light+0xea/0x280 [ 1237.711229] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1237.711925] __sys_sendmsg+0xe5/0x1b0 [ 1237.712434] ? __sys_sendmsg_sock+0x30/0x30 [ 1237.713010] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1237.713764] ? __sanitizer_cov_trace_const_cmp1+0x22/0x80 [ 1237.714496] ? fput+0x2a/0x50 [ 1237.714965] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1237.715732] do_syscall_64+0x3b/0x90 [ 1237.716230] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1237.716917] RIP: 0033:0x7f21f360ab19 [ 1237.717411] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1237.719700] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1237.720789] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1237.721727] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1237.722632] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1237.723540] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1237.724474] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1237.725542] 10:16:44 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="01434430303101004c494e55582020202020202020202020202020202020202020202020202020204344524f4d20202020202020202020202020202020202020202020202020202000000000000000002301000000000123000000000000000000000000000000000000000000000000000000000000000001000001010000010008080018000000000000180d010000000000000000010f000000002200150100000000011500080000000008007809140b2a3a08020000010000010100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202073797a6b616c6c65722020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202047454e49534f494d4147452049534f20393636302f4846532046494c4553595354454d2043524541544f5220284329203139393320452e594f554e4744414c452028432920313939372d32303036204a2e50454152534f4e2f4a2e534348494c4c494e472028432920323030362d32303037204344524b4954205445414d202066696c6533202020202020202020202020202020202020202020202020202020202020202066696c6531202020202020202020202020202020202020202020202020202020202020202066696c6532202020202020202020202020202020202020202020202020202020202020202032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:16:44 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, 0x0}, 0x0) [ 1238.239621] syz-executor.0: vmalloc error: size 832901120, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz0,mems_allowed=0 [ 1238.241592] CPU: 1 PID: 9067 Comm: syz-executor.0 Not tainted 5.16.0-next-20220120 #1 [ 1238.242698] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1238.244101] Call Trace: [ 1238.244486] [ 1238.244750] dump_stack_lvl+0x8b/0xb3 [ 1238.245224] warn_alloc.cold+0x95/0x18a [ 1238.245830] ? zone_watermark_ok_safe+0x240/0x240 [ 1238.246408] ? __schedule+0x88a/0x2190 [ 1238.246982] ? io_schedule_timeout+0x180/0x180 [ 1238.247635] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1238.248186] __vmalloc_node_range+0xe7a/0x10b0 [ 1238.248741] ? __vmalloc_node_no_huge+0x110/0x110 [ 1238.249464] ? lock_is_held_type+0xd7/0x130 [ 1238.250108] ? packet_set_ring+0xb60/0x1b20 [ 1238.250813] __vmalloc_node+0xb5/0x100 [ 1238.251373] ? packet_set_ring+0xb60/0x1b20 [ 1238.251897] packet_set_ring+0xb60/0x1b20 [ 1238.252404] packet_setsockopt+0x1b4f/0x3c40 [ 1238.252932] ? lock_release+0x3b2/0x6f0 [ 1238.253420] ? __fget_files+0x26b/0x470 [ 1238.253889] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1238.254533] ? packet_bind+0x1b0/0x1b0 [ 1238.255086] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1238.255749] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1238.256400] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1238.257145] ? selinux_socket_setsockopt+0x6a/0x80 [ 1238.257742] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1238.258383] __sys_setsockopt+0x180/0x2a0 [ 1238.258884] ? packet_bind+0x1b0/0x1b0 [ 1238.259355] ? __ia32_sys_recv+0x100/0x100 [ 1238.259929] __x64_sys_setsockopt+0xba/0x150 [ 1238.260577] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1238.261214] do_syscall_64+0x3b/0x90 [ 1238.261652] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1238.262292] RIP: 0033:0x7f1320addb19 [ 1238.262821] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1238.265030] RSP: 002b:00007f131e053188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1238.265985] RAX: ffffffffffffffda RBX: 00007f1320bf0f60 RCX: 00007f1320addb19 [ 1238.266773] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000004 [ 1238.267801] RBP: 00007f1320b37f6d R08: 0000000000000010 R09: 0000000000000000 [ 1238.268646] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1238.269454] R13: 00007ffcc9dc1b9f R14: 00007f131e053300 R15: 0000000000022000 [ 1238.270392] [ 1238.271471] Mem-Info: [ 1238.271768] active_anon:7287 inactive_anon:36749 isolated_anon:0 [ 1238.271768] active_file:7384 inactive_file:4945 isolated_file:32 [ 1238.271768] unevictable:0 dirty:0 writeback:0 [ 1238.271768] slab_reclaimable:7522 slab_unreclaimable:63111 [ 1238.271768] mapped:74815 shmem:122 pagetables:3221 bounce:0 [ 1238.271768] kernel_misc_reclaimable:0 [ 1238.271768] free:6224 free_pcp:322 free_cma:0 [ 1238.275727] Node 0 active_anon:29148kB inactive_anon:146996kB active_file:29536kB inactive_file:19780kB unevictable:0kB isolated(anon):0kB isolated(file):128kB mapped:299260kB dirty:0kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6368kB pagetables:12884kB all_unreclaimable? no [ 1238.278508] Node 0 DMA free:6512kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:8kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1238.281302] lowmem_reserve[]: 0 1617 1617 1617 [ 1238.281842] Node 0 DMA32 free:17684kB boost:10240kB min:15360kB low:17016kB high:18672kB reserved_highatomic:2048KB active_anon:29148kB inactive_anon:146996kB active_file:29640kB inactive_file:20456kB unevictable:0kB writepending:0kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1224kB local_pcp:532kB free_cma:0kB [ 1238.284959] lowmem_reserve[]: 0 0 0 0 [ 1238.285455] Node 0 DMA: 2*4kB (M) 1*8kB (U) 2*16kB (UM) 2*32kB (UM) 2*64kB (UM) 1*128kB (M) 2*256kB (UM) 1*512kB (M) 1*1024kB (M) 0*2048kB 1*4096kB (M) = 6512kB [ 1238.287170] Node 0 DMA32: 751*4kB (UMEH) 408*8kB (MEH) 228*16kB (UMEH) 77*32kB (MEH) 40*64kB (MEH) 9*128kB (MEH) 1*256kB (H) 2*512kB (H) 0*1024kB 0*2048kB 0*4096kB = 17372kB [ 1238.289012] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1238.289961] 12369 total pagecache pages [ 1238.290419] 0 pages in swap cache [ 1238.290796] Swap cache stats: add 0, delete 0, find 0/0 [ 1238.291400] Free swap = 0kB [ 1238.291740] Total swap = 0kB [ 1238.292084] 524158 pages RAM [ 1238.292451] 0 pages HighMem/MovableOnly [ 1238.292882] 105117 pages reserved 10:16:59 executing program 7: socket$inet_udp(0x2, 0x2, 0x0) r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:16:59 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(0x0, 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:16:59 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={0x0}}, 0x0) 10:16:59 executing program 6: sendfile(0xffffffffffffffff, 0xffffffffffffffff, 0x0, 0x0) r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x141042, 0x0) pwritev(r0, &(0x7f0000000640)=[{&(0x7f00000002c0)="19", 0x1}], 0x1, 0x8000000, 0x0) openat(0xffffffffffffffff, &(0x7f0000000000)='./file1\x00', 0xea001, 0x40) openat(0xffffffffffffff9c, 0x0, 0x4042, 0x0) openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x0, 0x0) signalfd4(r0, &(0x7f0000000080)={[0x7]}, 0x8, 0x80800) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x4042, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x4042, 0x0) sendfile(0xffffffffffffffff, r2, &(0x7f0000000040)=0x5, 0xc6d5) sendfile(r1, r2, 0x0, 0x7fffffff) 10:16:59 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:16:59 executing program 2: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0xc0, 0x0) lsetxattr$trusted_overlay_opaque(&(0x7f0000000040)='./file1\x00', &(0x7f0000000080), &(0x7f00000000c0), 0x2, 0x2) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x143002, 0x100) pwrite64(r1, &(0x7f0000000000)='.', 0x1, 0x0) pwrite64(r1, &(0x7f00000006c0)='y', 0x1, 0x80000) preadv2(r0, &(0x7f0000000200)=[{&(0x7f0000000700)=""/4096, 0x1000}], 0x1, 0x200, 0x0, 0xe) 10:16:59 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 2) 10:16:59 executing program 4: [ 1252.651817] loop4: detected capacity change from 0 to 2232 [ 1252.659284] FAULT_INJECTION: forcing a failure. [ 1252.659284] name fail_usercopy, interval 1, probability 0, space 0, times 0 [ 1252.661014] CPU: 0 PID: 9126 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1252.662038] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1252.663468] Call Trace: [ 1252.663808] [ 1252.664103] dump_stack_lvl+0x8b/0xb3 [ 1252.664627] should_fail.cold+0x5/0xa [ 1252.665129] _copy_from_user+0x2e/0x170 [ 1252.665692] iovec_from_user+0x241/0x3c0 [ 1252.666250] __import_iovec+0x67/0x5d0 [ 1252.666749] ? __ia32_sys_shutdown+0x70/0x70 [ 1252.667380] import_iovec+0x83/0xb0 [ 1252.667861] sendmsg_copy_msghdr+0x12d/0x160 [ 1252.668467] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1252.669099] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1252.669824] ? lock_release+0x3b2/0x6f0 [ 1252.670373] ? __might_fault+0xd1/0x170 [ 1252.670926] ___sys_sendmsg+0xc6/0x170 [ 1252.671479] ? sendmsg_copy_msghdr+0x160/0x160 [ 1252.672107] ? lock_release+0x3b2/0x6f0 [ 1252.672658] ? __fget_files+0x26b/0x470 [ 1252.673201] ? lock_downgrade+0x6d0/0x6d0 [ 1252.673808] ? lock_release+0x3b2/0x6f0 [ 1252.674344] ? ksys_write+0x212/0x250 [ 1252.674871] ? lock_downgrade+0x6d0/0x6d0 [ 1252.675452] ? __fget_files+0x28d/0x470 [ 1252.676006] ? __fget_light+0xea/0x280 [ 1252.676559] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1252.677306] __sys_sendmsg+0xe5/0x1b0 [ 1252.677844] ? __sys_sendmsg_sock+0x30/0x30 [ 1252.678487] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1252.679479] ? fput+0x2a/0x50 [ 1252.680081] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1252.681063] do_syscall_64+0x3b/0x90 [ 1252.681780] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1252.682742] RIP: 0033:0x7f21f360ab19 [ 1252.683422] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1252.685925] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1252.686944] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1252.687857] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1252.688765] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1252.689683] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1252.690591] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1252.691513] [ 1252.700267] isofs_fill_super: get root inode failed syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1253.499615] syz-executor.0: vmalloc error: size 378318848, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz0,mems_allowed=0 [ 1253.500795] CPU: 0 PID: 9121 Comm: syz-executor.0 Not tainted 5.16.0-next-20220120 #1 [ 1253.501339] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1253.502117] Call Trace: [ 1253.502302] [ 1253.502462] dump_stack_lvl+0x8b/0xb3 [ 1253.502740] warn_alloc.cold+0x95/0x18a [ 1253.503028] ? zone_watermark_ok_safe+0x240/0x240 [ 1253.503391] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1253.503723] __vmalloc_node_range+0xe7a/0x10b0 [ 1253.504051] ? __vmalloc_node_no_huge+0x110/0x110 [ 1253.504569] ? lock_is_held_type+0xd7/0x130 [ 1253.504885] ? packet_set_ring+0xb60/0x1b20 [ 1253.505204] __vmalloc_node+0xb5/0x100 [ 1253.505494] ? packet_set_ring+0xb60/0x1b20 [ 1253.505816] packet_set_ring+0xb60/0x1b20 [ 1253.506127] packet_setsockopt+0x1b4f/0x3c40 [ 1253.506445] ? lock_release+0x3b2/0x6f0 [ 1253.506731] ? __fget_files+0x26b/0x470 [ 1253.507009] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1253.507393] ? packet_bind+0x1b0/0x1b0 [ 1253.507674] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1253.508054] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1253.508472] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1253.508864] ? selinux_socket_setsockopt+0x6a/0x80 [ 1253.509220] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1253.509630] __sys_setsockopt+0x180/0x2a0 [ 1253.509940] ? packet_bind+0x1b0/0x1b0 [ 1253.510234] ? __ia32_sys_recv+0x100/0x100 [ 1253.510563] __x64_sys_setsockopt+0xba/0x150 [ 1253.510887] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1253.511268] do_syscall_64+0x3b/0x90 [ 1253.511542] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1253.511925] RIP: 0033:0x7f1320addb19 [ 1253.512193] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1253.513492] RSP: 002b:00007f131e053188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1253.514016] RAX: ffffffffffffffda RBX: 00007f1320bf0f60 RCX: 00007f1320addb19 [ 1253.514514] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000003 [ 1253.515019] RBP: 00007f1320b37f6d R08: 0000000000000010 R09: 0000000000000000 [ 1253.515530] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1253.516040] R13: 00007ffcc9dc1b9f R14: 00007f131e053300 R15: 0000000000022000 [ 1253.516560] [ 1253.517484] Mem-Info: [ 1253.517673] active_anon:7416 inactive_anon:36822 isolated_anon:0 [ 1253.517673] active_file:2501 inactive_file:2552 isolated_file:15 [ 1253.517673] unevictable:0 dirty:0 writeback:0 [ 1253.517673] slab_reclaimable:7510 slab_unreclaimable:63135 [ 1253.517673] mapped:69889 shmem:122 pagetables:3297 bounce:0 [ 1253.517673] kernel_misc_reclaimable:0 [ 1253.517673] free:3373 free_pcp:318 free_cma:0 [ 1253.520466] Node 0 active_anon:29664kB inactive_anon:147288kB active_file:10004kB inactive_file:10208kB unevictable:0kB isolated(anon):0kB isolated(file):60kB mapped:279556kB dirty:0kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6528kB pagetables:13188kB all_unreclaimable? no [ 1253.522448] Node 0 DMA free:6508kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1253.524258] lowmem_reserve[]: 0 1617 1617 1617 [ 1253.524614] Node 0 DMA32 free:6984kB boost:2048kB min:7168kB low:8824kB high:10480kB reserved_highatomic:2048KB active_anon:29664kB inactive_anon:147288kB active_file:10324kB inactive_file:9728kB unevictable:0kB writepending:0kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1368kB local_pcp:648kB free_cma:0kB [ 1253.526695] lowmem_reserve[]: 0 0 0 0 [ 1253.526986] Node 0 DMA: 0*4kB 0*8kB 1*16kB (U) 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6512kB [ 1253.527997] Node 0 DMA32: 172*4kB (UME) 177*8kB (MEH) 65*16kB (UME) 33*32kB (UMEH) 4*64kB (UMH) 2*128kB (UH) 1*256kB (H) 1*512kB (H) 1*1024kB (H) 0*2048kB 0*4096kB = 6504kB [ 1253.529214] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1253.529830] 5168 total pagecache pages [ 1253.530110] 0 pages in swap cache [ 1253.530391] Swap cache stats: add 0, delete 0, find 0/0 [ 1253.530779] Free swap = 0kB [ 1253.531005] Total swap = 0kB [ 1253.531247] 524158 pages RAM [ 1253.531471] 0 pages HighMem/MovableOnly [ 1253.531753] 105117 pages reserved 10:17:14 executing program 2: r0 = openat$rtc(0xffffffffffffff9c, &(0x7f00000001c0), 0x0, 0x0) ioctl$sock_SIOCGPGRP(0xffffffffffffffff, 0x8904, 0x0) ioctl$RTC_WKALM_SET(0xffffffffffffffff, 0x4028700f, 0x0) ioctl$RTC_WKALM_SET(r0, 0x4028700f, &(0x7f0000000240)={0x1, 0x0, {0x0, 0x0, 0x0, 0x8, 0x0, 0x175}}) 10:17:14 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(0x0, 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:17:14 executing program 6: r0 = syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x26, &(0x7f0000000200)=[{&(0x7f0000010000)="200000008000000006000000600000000f000000000000000100000001000000004000000040000020000000d2f4655fd2f4655f0100ffff53ef010001000000d0f4655f000000000000000001000000000000000b000000800000000800000052470000620100000000000000000000000000000000000073797a6b616c6c6572000000000000002f746d702f73797a2d696d61676567656e38333031383839323800"/192, 0xc0, 0x400}, {&(0x7f0000010100)="000000000000000000000000187916524213481db3505d4e06406e21010000000c00000000000000d0f4655f00"/64, 0x40, 0x4e0}, {&(0x7f0000010200)="01000000000005000c0000000000000000000000040000004b00000000000000", 0x20, 0x560}, {&(0x7f0000010300)="030000000400"/32, 0x20, 0x640}, {&(0x7f0000010400)="02000000120000002200000060000f0003000400"/32, 0x20, 0x800}, {&(0x7f0000000b80)="ffff0f00ff0f00000000000000000000fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff5ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff147ed5c6afad7444ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff22ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff020000000c0001022e000000020000000c0002022e2e00000b00000014000a026c6f73742b666f756e6400000c0000001000050266696c65300000000f0000001000050166696c6531000000100000001000050166696c6532000000100000001000050166696c6533000000110000009407090166696c652e636f6c6400000029ee16ae87cc847a603e10757db0e2b8b1b06de688240007f63ec45d90f3276e02a91a28def5068fa9a6779ec52d68197542cafece45d4995aa35be8d3a75892a1c69ba48edc8ab5013f75046b1187f808aece85069f7cc62f4de01eac885adcf4672a7a2bc7b24edf67354e16b1e10ed32a609af23ef770", 0x8f4, 0x1000}, {&(0x7f0000010e00)="0b0000000c0001022e00000002000000f40702022e2e00"/32, 0x20, 0x2000}, {&(0x7f0000010f00)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x2800}, {&(0x7f0000011000)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x3000}, {&(0x7f0000011100)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x3800}, {&(0x7f0000011200)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x4000}, {&(0x7f0000011300)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x4800}, {&(0x7f0000011400)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x5000}, {&(0x7f0000011500)='\x00\x00\x00\x00\x00\b\x00'/32, 0x20, 0x5800}, {&(0x7f0000011600)="504d4d00504d4dffd2f4655f00000000647679756b6f762d676c6170746f70320000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006c6f6f7033320075782f746573742f73797a5f6d6f756e745f696d6167655f650500"/128, 0x80, 0x6000}, {&(0x7f0000011700)="111fc0d901000000803a0900803a090000000000060000000000000005000000", 0x20, 0x6800}, {&(0x7f0000011800)="0200"/32, 0x20, 0x6c00}, {&(0x7f0000011900)="0300"/32, 0x20, 0x7000}, {&(0x7f0000011a00)="0400"/32, 0x20, 0x7400}, {&(0x7f0000011b00)="0500"/32, 0x20, 0x7800}, {&(0x7f0000011c00)="00000000000000000100000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000009800"/96, 0x60, 0x7c00}, {&(0x7f0000011d00)="2719c0d901000000803a0900803a090000000000060000000000000005000000", 0x20, 0x8000}, {&(0x7f0000011e00)="0200"/32, 0x20, 0x8400}, {&(0x7f0000011f00)="0300"/32, 0x20, 0x8800}, {&(0x7f0000012000)="0400"/32, 0x20, 0x8c00}, {&(0x7f0000012100)="ffff0100ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff0500"/2080, 0x820, 0x9000}, {&(0x7f0000012a00)="00000000000000000100000000000000000000000000000000000000000000000000000000000000080000000000000000000000000000000000000000000000009800"/96, 0x60, 0x9c00}, {&(0x7f0000012b00)="0c0000000c0001022e000000020000000c0002022e2e00000d0000001000050166696c65300000000e000000d807050766696c653100"/64, 0x40, 0x10000}, {&(0x7f0000012c00)="000002ea0100000001000000270f240c000000000000000000000000000000000601f8070000000006000000779b539778617474723100000601f00700000000060000007498539778617474723200"/96, 0x60, 0x10800}, {&(0x7f0000001580)="00000000000000000000000000000000786174747232000078617474723100000000000000000000d0f4655fd0f4655fd0f4655f0000000000000000000000002aae9652405cff3c7f1b82c6897a1caffc46b97baf2bb624e78668e5ef22b34fa8824a291e142ad0c202575bc003af1e36798fee4d05443ef89a7b920d00478e95ad3449cecff94b66959d9fb00576d9305164ae3234360212bf95ac75725736d063653ff18b34ec7eaf9089219833a766f9894e63d820be075378685e5410f53a93b88993f1627e5094a7e9c8f40a8d04751686ecebdd4274f17a0b21439dd1343c3e6b0a345edd2f93077fc702c422a33dc1ac14d95ca06816a1997d7235f0f5a35e42ca0b48b2bb4df15fce07bcceebaec5cfa60e717fc6da047c9a471d2b294d7d945673e551314fa5e1be8f88e9751e6ca03beb03", 0x137, 0x10fe4}, {&(0x7f0000012e00)="ed41000000080000d0f4655fd2f4655fd2f4655f00000000000004000400000000000800050000000af301000400000000000000000000000100000003000000", 0x40, 0x11080}, {&(0x7f0000012f00)="8081000000180000d0f4655fd0f4655fd0f4655f00000000000001000c00000010000800000000000af30100040000000000000000000000030000000d000000", 0x40, 0x11100}, {&(0x7f0000013000)="8081000000180000d0f4655fd0f4655fd0f4655f00000000000001000c00000010000800000000000af30200040000000000000000000000020000001000000002000000010000001300"/96, 0x60, 0x11180}, {&(0x7f0000013100)="c041000000400000d0f4655fd0f4655fd0f4655f00000000000002002000000000000800000000000af301000400000000000000000000000800000004000000", 0x40, 0x11500}, {&(0x7f0000013200)="ed41000000080000d2f4655fd2f4655fd2f4655f00000000000002000400000000000800030000000af3010004000000000000000000000001000000200000000000000000000000000000000000000000000000000000000000000000000000000000003ad464bc000000000000000000000000000000000000000000000000ed8100001a040000d2f4655fd2f4655fd2f4655f00000000000001000400000000000800010000000af301000400000000000000000000000100000024000000000000000000000000000000000000000000000000000000000000000000000000000000831f2e05000000000000000000000000000000000000000000000000ffa1000026000000d2f4655fd2f4655fd2f4655f00000000000001000000000000000000010000002f746d702f73797a2d696d61676567656e3833303138383932382f66696c65302f66696c653000000000000000000000000000000000000000000000790726b3000000000000000000000000000000000000000000000000ed8100000a000000d2f4655fd2f4655fd2f4655f00000000000001000800000000000800010000000af301000400000000000000000000000100000025000000000000000000000000000000000000000000000000000000000000000000000000000000a32dcc89210000000000000000000000000000000000000000000000ed81000028230000d2f4655fd2f4655fd2f4655f00000000000002001400000000000800010000000af3010004000000000000000000000005000000260000000000000000000000000000000000000000000000000000000000000000000000000000008ae01bcd000000000000000000000000000000000000000000000000ed81000064000000d2f4655fd2f4655fd2f4655f00000000000001000400000000000800010000000af30100040000000000000000000000010000002b0000000000000000000000000000000000000000000000000000000000000000000000000000005598c73700"/768, 0x300, 0x11580}, {&(0x7f0000013500)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkal\x00\x00\x00\x00\x00\x00', 0x420, 0x12000}, {&(0x7f0000013a00)='syzkallers\x00'/32, 0x20, 0x12800}, {&(0x7f0000013b00)='syzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallersyzkallers\x00'/128, 0x80, 0x15800}], 0x0, &(0x7f0000001480)=ANY=[@ANYBLOB="bd1009ae429a9421efc0055d8ab39006ab2e7befec1f0ee78b97b7c3253b5abbc708bf7813436caac40d61d7c8c99e330da881de14d0e2b3ad663a57332875cbd9e25eaec5add95e6b41b2b4a8b1331f64be0aa124c1170ab2375a9ac26b5b2b4d9c855dac96ad0889be70e7ac3b1dff1d31157d6626b7d8ef749ec9397b810d1342ea50b9f64b3e19e97d672726d61accb5be653ac52c54c6c9124f339b2f0d2dd296abb4cb1141d0bad95b62c36c70e41ee5b5459a86369e03183de302bc02dd5f89c3cc9804"]) newfstatat(0xffffffffffffff9c, &(0x7f0000000940)='./file0\x00', &(0x7f0000000980)={0x0, 0x0, 0x0, 0x0, 0x0}, 0x0) syz_mount_image$tmpfs(&(0x7f0000000040), &(0x7f0000000080)='./file0/file0\x00', 0x3, 0x3, &(0x7f0000000140)=[{&(0x7f00000006c0)="bd0f42e3ec2915cc515537bb367aacfce4a120f77e0e84236f7cee1061c3a0e1e0fc9952012d0363b1ac3fb8562221265b542d432c248b37078c880cb2f1874693a55f6f6ef0c1eaf27ec8e0c9faea7a23ee5483c8ad4aca7bb5663c62576e7d6bd7e772299db2bdadec0642dec7eebaef6a6beea342e1ce7f73fbcfeec11eef6931a8a765409abf220b31343467a881386b83ad63562847c32fc8501a2c5612a9f0fabba154832c3028068778039681dc8c2e6734cd24c7015dec6afefd5864c795abaaac727e438f4cd3", 0xcb, 0x1}, {&(0x7f00000007c0)="96348b30e0fc6859e5add3402777f900a52f50abfd21fda5689a6889c0d1c073bff7540f3a2fc8c45cd64dbfd0e2309568d7435a0ae5ebe1a929dc8b1ccfe4e8f4c1e217a35cff4a30e36a2b50993fd6e95a4d40fa95c4b708fd2930029c87ddaf52d841423de577516260a8ff82875ca9af03dfeadeb37abe6ececcd8d7702a4c1f41f882572414c32e142c0a65b43ae816458d28e0ed79c3b8222c8e7485290cbebfa17d2c4990f56fcd99e86a5aa8f94358b18869cbbe5a721d0b0e7719343f1c04c0fb7dc6f83df4dfbb", 0xcc, 0x8}, {&(0x7f00000000c0)="f0106263d6f99b0a6be38ba4a722dcacb655a6f4df6ad7b25b2fb5eb99a9e782cc79347c5069089f7c25dea05e", 0x2d, 0x2}], 0x80c00, &(0x7f0000000a00)={[{@huge_within_size}], [{@subj_role={'subj_role', 0x3d, '((]'}}, {@fscontext={'fscontext', 0x3d, 'staff_u'}}, {@fowner_eq={'fowner', 0x3d, r1}}, {@smackfstransmute}]}) ioctl$FS_IOC_REMOVE_ENCRYPTION_KEY_ALL_USERS(r0, 0xc0406619, &(0x7f0000000600)={@id={0x2, 0x0, @a}}) mount$cgroup(0x0, &(0x7f00000001c0)='./file0\x00', &(0x7f00000008c0), 0x0, &(0x7f0000000a80)={[{@xattr}, {@cpuset_v2_mode}, {@clone_children}, {@xattr}, {@noprefix}, {@release_agent={'release_agent', 0x3d, './file0/file0'}}, {@clone_children}, {@clone_children}], [{@appraise}, {@fsname={'fsname', 0x3d, 'subj_role'}}, {@uid_lt={'uid<', r1}}, {@measure}, {@fowner_lt={'fowner<', r1}}, {@smackfstransmute={'smackfstransmute', 0x3d, '((]'}}]}) acct(&(0x7f00000005c0)='./file0\x00') 10:17:14 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="0243443030310100004c0049004e0055005800200020002000200020002000200020002000200020004300440052004f004d0020002000200020002000200020002000200020002000000000000000002301000000000123252f4500000000000000000000000000000000000000000000000000000000000100000101000001000808001c0000000000001c110100000000000000000113000000002200170100000000011700080000000008007809140b2a3a08020000010000010100002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000730079007a006b0061006c006c006500720020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000200020002000470045004e00490053004f0049004d004100470045002000490053004f00200039003600360030005f004800460053002000460049004c004500530059005300540045004d002000430052004500410054004f005200200028004300290020003100390039003300200045002e0059004f0055004e004700440041004c004500660069006c0065003300200020002000200020002000200020002000200020002000200000660069006c0065003100200020002000200020002000200020002000200020002000200000660069006c0065003200200020002000200020002000200020002000200020002000200032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1267.417112] loop6: detected capacity change from 0 to 512 [ 1267.428283] ext4: Unknown parameter '½ ®Bš”!ïÀ]Š³«.{ïìç‹—·Ã%;Z»Ç¿xClªÄa×ÈÉž3¨ÞÐâ³­f:W3(uËÙâ^®Å­Ù^kA²´¨±3d¾ [ 1267.428283] ¡$Á [ 1267.428283] ²7ZšÂk[+Mœ…]¬–­‰¾pç¬;ÿ1}f&·ØïtžÉ9{BêP¹öK>é}g'&Ö̵¾e:Å' [ 1267.431758] rtc_cmos 00:05: Alarms can be up to one day in the future [ 1267.443941] loop4: detected capacity change from 0 to 2232 10:17:14 executing program 7: socket$inet_udp(0x2, 0x2, 0x0) r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:14 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 3) [ 1267.457008] cgroup: Unknown subsys name 'appraise' [ 1267.459462] loop6: detected capacity change from 0 to 512 [ 1267.460138] ext4: Unknown parameter '½ ®Bš”!ïÀ]Š³«.{ïìç‹—·Ã%;Z»Ç¿xClªÄa×ÈÉž3¨ÞÐâ³­f:W3(uËÙâ^®Å­Ù^kA²´¨±3d¾ [ 1267.460138] ¡$Á [ 1267.460138] ²7ZšÂk[+Mœ…]¬–­‰¾pç¬;ÿ1}f&·ØïtžÉ9{BêP¹öK>é}g'&Ö̵¾e:Å' 10:17:14 executing program 0: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) [ 1267.479696] cgroup: Unknown subsys name 'appraise' [ 1267.486767] isofs_fill_super: get root inode failed 10:17:14 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={0x0}}, 0x0) [ 1267.511991] FAULT_INJECTION: forcing a failure. [ 1267.511991] name failslab, interval 1, probability 0, space 0, times 1 [ 1267.512856] CPU: 0 PID: 9153 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1267.513399] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1267.514174] Call Trace: [ 1267.514354] [ 1267.514524] dump_stack_lvl+0x8b/0xb3 [ 1267.514809] should_fail.cold+0x5/0xa [ 1267.515087] ? __alloc_skb+0x211/0x340 [ 1267.515378] should_failslab+0x5/0x10 [ 1267.515651] kmem_cache_alloc_node+0x55/0x490 [ 1267.515977] __alloc_skb+0x211/0x340 [ 1267.516248] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1267.516639] netlink_sendmsg+0x98d/0xdf0 [ 1267.516938] ? netlink_unicast+0x7f0/0x7f0 [ 1267.517251] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1267.517662] ? netlink_unicast+0x7f0/0x7f0 [ 1267.517974] sock_sendmsg+0x150/0x190 [ 1267.518258] ____sys_sendmsg+0x709/0x870 [ 1267.518550] ? kernel_sendmsg+0x50/0x50 [ 1267.518844] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1267.519182] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1267.519556] ? lock_release+0x3b2/0x6f0 [ 1267.519846] ? __might_fault+0xd1/0x170 [ 1267.520146] ___sys_sendmsg+0xf3/0x170 [ 1267.520437] ? sendmsg_copy_msghdr+0x160/0x160 [ 1267.520781] ? lock_release+0x3b2/0x6f0 [ 1267.521063] ? lock_downgrade+0x6d0/0x6d0 [ 1267.521374] ? lock_release+0x3b2/0x6f0 [ 1267.521695] ? ksys_write+0x212/0x250 [ 1267.521978] ? lock_downgrade+0x6d0/0x6d0 [ 1267.522286] ? __fget_files+0x28d/0x470 [ 1267.522595] ? __fget_light+0xea/0x280 [ 1267.522880] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1267.523286] __sys_sendmsg+0xe5/0x1b0 [ 1267.523575] ? __sys_sendmsg_sock+0x30/0x30 [ 1267.523894] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1267.524313] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1267.524697] do_syscall_64+0x3b/0x90 [ 1267.524980] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1267.525372] RIP: 0033:0x7f21f360ab19 [ 1267.525646] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1267.526960] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1267.527490] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1267.528003] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1267.528527] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1267.529048] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1267.529564] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1267.530063] 10:17:14 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:14 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r0, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(0xffffffffffffffff, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r1}, @void}}}, 0x1c}}, 0x0) 10:17:14 executing program 2: r0 = syz_open_procfs$namespace(0x0, &(0x7f0000000080)='ns/mnt\x00') setns(r0, 0x0) syz_init_net_socket$bt_l2cap(0x1f, 0x3, 0x0) setsockopt$bt_l2cap_L2CAP_OPTIONS(0xffffffffffffffff, 0x6, 0x1, &(0x7f0000000040)={0x0, 0x1, 0x0, 0x1, 0x12, 0x0, 0x5}, 0xc) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) setns(r1, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) 10:17:14 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={0x0}}, 0x0) 10:17:14 executing program 0: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:14 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a64000000000000647809140b2a3a08000000010000011200660069006c0065002e0063006f006c0064002c00180100000000011800080000000008007809140b2a3a08020000010000010a00660069006c00", 0xa0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1267.684814] loop4: detected capacity change from 0 to 2232 [ 1268.474814] warn_alloc: 1 callbacks suppressed [ 1268.474835] syz-executor.7: vmalloc error: size 447508480, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz7,mems_allowed=0 [ 1268.477681] CPU: 1 PID: 9154 Comm: syz-executor.7 Not tainted 5.16.0-next-20220120 #1 [ 1268.478717] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1268.480096] Call Trace: [ 1268.480448] [ 1268.480741] dump_stack_lvl+0x8b/0xb3 [ 1268.481265] warn_alloc.cold+0x95/0x18a [ 1268.481858] ? zone_watermark_ok_safe+0x240/0x240 [ 1268.482522] ? __schedule+0x88a/0x2190 [ 1268.483064] ? io_schedule_timeout+0x180/0x180 [ 1268.483701] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1268.484304] __vmalloc_node_range+0xe7a/0x10b0 [ 1268.484934] ? __vmalloc_node_no_huge+0x110/0x110 [ 1268.485556] ? lock_is_held_type+0xd7/0x130 [ 1268.486174] ? packet_set_ring+0xb60/0x1b20 [ 1268.486738] __vmalloc_node+0xb5/0x100 [ 1268.487263] ? packet_set_ring+0xb60/0x1b20 [ 1268.487831] packet_set_ring+0xb60/0x1b20 [ 1268.488419] packet_setsockopt+0x1b4f/0x3c40 [ 1268.489002] ? lock_release+0x3b2/0x6f0 [ 1268.489539] ? __fget_files+0x26b/0x470 [ 1268.490065] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1268.490781] ? packet_bind+0x1b0/0x1b0 [ 1268.491284] ? finish_task_switch.isra.0+0x221/0x870 [ 1268.491960] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1268.492646] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1268.493373] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1268.494091] ? selinux_socket_setsockopt+0x6a/0x80 [ 1268.494738] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1268.495469] __sys_setsockopt+0x180/0x2a0 [ 1268.496066] ? packet_bind+0x1b0/0x1b0 [ 1268.496778] ? __ia32_sys_recv+0x100/0x100 [ 1268.497366] __x64_sys_setsockopt+0xba/0x150 [ 1268.497973] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1268.498639] do_syscall_64+0x3b/0x90 [ 1268.499143] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1268.499832] RIP: 0033:0x7fee444e7b19 [ 1268.500328] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1268.502644] RSP: 002b:00007fee41a5d188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1268.503614] RAX: ffffffffffffffda RBX: 00007fee445faf60 RCX: 00007fee444e7b19 [ 1268.504525] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000004 [ 1268.505433] RBP: 00007fee44541f6d R08: 0000000000000010 R09: 0000000000000000 [ 1268.506357] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1268.507272] R13: 00007ffe905bbd5f R14: 00007fee41a5d300 R15: 0000000000022000 [ 1268.508208] [ 1268.508595] Mem-Info: [ 1268.508930] active_anon:7422 inactive_anon:36764 isolated_anon:0 [ 1268.508930] active_file:1142 inactive_file:1126 isolated_file:32 [ 1268.508930] unevictable:0 dirty:0 writeback:0 [ 1268.508930] slab_reclaimable:7423 slab_unreclaimable:62653 [ 1268.508930] mapped:69942 shmem:122 pagetables:3252 bounce:0 [ 1268.508930] kernel_misc_reclaimable:0 [ 1268.508930] free:3317 free_pcp:143 free_cma:0 [ 1268.513308] Node 0 active_anon:29688kB inactive_anon:147056kB active_file:4568kB inactive_file:4504kB unevictable:0kB isolated(anon):0kB isolated(file):128kB mapped:279768kB dirty:0kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6432kB pagetables:13008kB all_unreclaimable? no [ 1268.516528] Node 0 DMA free:6500kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1268.519815] lowmem_reserve[]: 0 1617 1617 1617 [ 1268.520512] Node 0 DMA32 free:6768kB boost:0kB min:5120kB low:6776kB high:8432kB reserved_highatomic:2048KB active_anon:29688kB inactive_anon:147056kB active_file:4924kB inactive_file:4776kB unevictable:0kB writepending:0kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:600kB local_pcp:204kB free_cma:0kB [ 1268.524581] lowmem_reserve[]: 0 0 0 0 [ 1268.525148] Node 0 DMA: 1*4kB (U) 0*8kB 0*16kB 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6500kB [ 1268.527090] Node 0 DMA32: 268*4kB (UMEH) 169*8kB (UMEH) 65*16kB (MEH) 25*32kB (MEH) 3*64kB (UMH) 2*128kB (UM) 3*256kB (UMH) 2*512kB (MH) 1*1024kB (H) 0*2048kB 0*4096kB = 7528kB [ 1268.529445] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1268.530647] 2378 total pagecache pages [ 1268.531209] 0 pages in swap cache [ 1268.531702] Swap cache stats: add 0, delete 0, find 0/0 [ 1268.532474] Free swap = 0kB [ 1268.532904] Total swap = 0kB [ 1268.533365] 524158 pages RAM [ 1268.533813] 0 pages HighMem/MovableOnly [ 1268.534391] 105117 pages reserved 10:17:28 executing program 7: socket$inet_udp(0x2, 0x2, 0x0) r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:28 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:17:28 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:28 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x0, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:17:28 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 4) 10:17:28 executing program 0: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:28 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r0, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(0xffffffffffffffff, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r1}, @void}}}, 0x1c}}, 0x0) [ 1281.331594] FAULT_INJECTION: forcing a failure. 10:17:28 executing program 2: move_pages(0x0, 0x0, 0x0, &(0x7f0000000080)=[0x0, 0x0, 0x8001, 0x0], &(0x7f00000000c0)=[0x0, 0x0, 0x0, 0x0], 0x2) sigaltstack(&(0x7f0000ff9000/0x3000)=nil, &(0x7f00000001c0)) move_pages(0xffffffffffffffff, 0x0, &(0x7f0000000200), 0x0, 0x0, 0x4) r0 = syz_open_dev$usbmon(&(0x7f00000002c0), 0xd4, 0x2000) syz_io_uring_submit(0x0, 0x0, 0x0, 0x0) r1 = openat$incfs(r0, 0x0, 0x41, 0x40) r2 = syz_io_uring_setup(0x499c, &(0x7f0000000580)={0x0, 0x0, 0x1}, &(0x7f0000ff7000/0x3000)=nil, &(0x7f0000ffe000/0x1000)=nil, &(0x7f0000000600), &(0x7f0000000640)) io_uring_setup(0x7a50, &(0x7f0000001680)={0x0, 0x53fd, 0x20, 0x0, 0x5a, 0x0, r1}) r3 = gettid() move_pages(r3, 0x1, &(0x7f0000000000)=[&(0x7f0000fee000/0x12000)=nil], &(0x7f0000000040)=[0x1, 0x80, 0xffff, 0x4, 0x8, 0x1000, 0x0, 0xd7d55bc, 0x2a], &(0x7f0000000100)=[0x0, 0x0, 0x0, 0x0, 0x0], 0x6) mmap$IORING_OFF_SQES(&(0x7f0000ffc000/0x1000)=nil, 0x1000, 0x1000008, 0x20010, r2, 0x10000000) pwritev(0xffffffffffffffff, &(0x7f0000000140)=[{&(0x7f0000000000)='P', 0x1}], 0x1, 0x7fff, 0x0) r4 = mmap$IORING_OFF_SQ_RING(&(0x7f0000c00000/0x400000)=nil, 0x400000, 0x2, 0x11, 0xffffffffffffffff, 0x0) syz_io_uring_submit(r4, 0x0, 0x0, 0x0) syz_io_uring_complete(r4) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000180)=@IORING_OP_ASYNC_CANCEL={0xe, 0x4, 0x0, 0x0, 0x0, 0x23456, 0x0, 0x0, 0x1}, 0x43f3fea7) futimesat(r1, &(0x7f0000001740)='./file0\x00', &(0x7f0000001780)={{0x77359400}, {0x77359400}}) execveat(0xffffffffffffffff, &(0x7f00000017c0)='./file1\x00', &(0x7f0000001900)=[&(0x7f0000001800)='\x00', 0x0, 0x0], &(0x7f0000001a40)=[&(0x7f0000001940)='\x00', &(0x7f0000001980)='.pending_reads\x00'], 0x1000) ioctl$EVIOCSREP(0xffffffffffffffff, 0x40084503, &(0x7f0000001a80)) [ 1281.331594] name failslab, interval 1, probability 0, space 0, times 0 [ 1281.333947] CPU: 0 PID: 9182 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1281.335058] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1281.336520] Call Trace: [ 1281.336849] [ 1281.337148] dump_stack_lvl+0x8b/0xb3 [ 1281.337656] should_fail.cold+0x5/0xa [ 1281.338184] ? create_object.isra.0+0x3a/0xa20 [ 1281.338806] should_failslab+0x5/0x10 [ 1281.339332] kmem_cache_alloc+0x5b/0x480 [ 1281.339865] create_object.isra.0+0x3a/0xa20 [ 1281.340461] ? kasan_unpoison+0x23/0x50 [ 1281.340994] kmem_cache_alloc_node+0x248/0x490 [ 1281.341607] __alloc_skb+0x211/0x340 [ 1281.342110] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1281.342842] netlink_sendmsg+0x98d/0xdf0 [ 1281.343412] ? netlink_unicast+0x7f0/0x7f0 [ 1281.343963] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1281.344683] ? netlink_unicast+0x7f0/0x7f0 [ 1281.345238] sock_sendmsg+0x150/0x190 [ 1281.345765] ____sys_sendmsg+0x709/0x870 [ 1281.346337] ? kernel_sendmsg+0x50/0x50 [ 1281.346861] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1281.347490] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1281.348178] ? lock_release+0x3b2/0x6f0 [ 1281.348721] ? __might_fault+0xd1/0x170 [ 1281.349276] ___sys_sendmsg+0xf3/0x170 [ 1281.349799] ? sendmsg_copy_msghdr+0x160/0x160 [ 1281.350448] ? lock_release+0x3b2/0x6f0 [ 1281.350976] ? lock_downgrade+0x6d0/0x6d0 [ 1281.351554] ? lock_release+0x3b2/0x6f0 [ 1281.352077] ? ksys_write+0x212/0x250 [ 1281.352604] ? lock_downgrade+0x6d0/0x6d0 [ 1281.353153] ? __fget_files+0x28d/0x470 [ 1281.353704] ? __fget_light+0xea/0x280 [ 1281.354223] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1281.354948] __sys_sendmsg+0xe5/0x1b0 [ 1281.355483] ? __sys_sendmsg_sock+0x30/0x30 [ 1281.356029] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1281.356763] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1281.357465] do_syscall_64+0x3b/0x90 [ 1281.357981] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1281.358678] RIP: 0033:0x7f21f360ab19 [ 1281.359158] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1281.361495] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1281.362487] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1281.363421] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1281.364334] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1281.365225] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1281.366150] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1281.367093] [ 1281.404606] loop4: detected capacity change from 0 to 2232 [ 1281.461668] isofs_fill_super: get root inode failed 10:17:28 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x0, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:17:28 executing program 0: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:28 executing program 1: socket$nl_generic(0x10, 0x3, 0x10) r0 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r0, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(0xffffffffffffffff, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r1}, @void}}}, 0x1c}}, 0x0) 10:17:28 executing program 2: r0 = openat$tcp_mem(0xffffffffffffff9c, &(0x7f0000000000)='/proc/sys/net/ipv4/tcp_rmem\x00', 0x1, 0x0) write$binfmt_aout(r0, &(0x7f00000000c0)=ANY=[@ANYRESHEX, @ANYRESOCT], 0x20) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) fcntl$setlease(r1, 0x400, 0xf68fdd3cc974f983) r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) ioctl$TUNGETIFF(r2, 0x800454d2, &(0x7f0000000100)={'caif0\x00'}) r3 = openat2(0xffffffffffffffff, &(0x7f0000000040)='\x00', &(0x7f0000000080)={0x40, 0x80}, 0x18) dup2(r1, r3) 10:17:28 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x0, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) [ 1282.233384] warn_alloc: 1 callbacks suppressed [ 1282.233409] syz-executor.7: vmalloc error: size 626274304, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz7,mems_allowed=0 [ 1282.236350] CPU: 0 PID: 9176 Comm: syz-executor.7 Not tainted 5.16.0-next-20220120 #1 [ 1282.237480] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1282.238867] Call Trace: [ 1282.239199] [ 1282.239494] dump_stack_lvl+0x8b/0xb3 [ 1282.239998] warn_alloc.cold+0x95/0x18a [ 1282.240515] ? zone_watermark_ok_safe+0x240/0x240 [ 1282.241131] ? __schedule+0x88a/0x2190 [ 1282.241658] ? io_schedule_timeout+0x180/0x180 [ 1282.242264] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1282.242876] __vmalloc_node_range+0xe7a/0x10b0 [ 1282.243501] ? __vmalloc_node_no_huge+0x110/0x110 [ 1282.244144] ? lock_is_held_type+0xd7/0x130 [ 1282.244744] ? packet_set_ring+0xb60/0x1b20 [ 1282.245324] __vmalloc_node+0xb5/0x100 [ 1282.245858] ? packet_set_ring+0xb60/0x1b20 [ 1282.246443] packet_set_ring+0xb60/0x1b20 [ 1282.247020] packet_setsockopt+0x1b4f/0x3c40 [ 1282.247628] ? lock_release+0x3b2/0x6f0 [ 1282.248161] ? __fget_files+0x26b/0x470 [ 1282.248690] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1282.249417] ? packet_bind+0x1b0/0x1b0 [ 1282.249954] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1282.250676] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1282.251414] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1282.252130] ? selinux_socket_setsockopt+0x6a/0x80 [ 1282.252778] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1282.253510] __sys_setsockopt+0x180/0x2a0 [ 1282.254079] ? packet_bind+0x1b0/0x1b0 [ 1282.254610] ? __ia32_sys_recv+0x100/0x100 [ 1282.255200] __x64_sys_setsockopt+0xba/0x150 [ 1282.255805] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1282.256495] do_syscall_64+0x3b/0x90 [ 1282.257002] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1282.257682] RIP: 0033:0x7fee444e7b19 [ 1282.258193] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1282.260506] RSP: 002b:00007fee41a5d188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1282.261488] RAX: ffffffffffffffda RBX: 00007fee445faf60 RCX: 00007fee444e7b19 [ 1282.262422] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000004 [ 1282.263328] RBP: 00007fee44541f6d R08: 0000000000000010 R09: 0000000000000000 [ 1282.264251] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1282.265155] R13: 00007ffe905bbd5f R14: 00007fee41a5d300 R15: 0000000000022000 [ 1282.266091] [ 1282.266690] Mem-Info: [ 1282.267066] active_anon:7422 inactive_anon:36641 isolated_anon:0 [ 1282.267066] active_file:694 inactive_file:642 isolated_file:17 [ 1282.267066] unevictable:0 dirty:11 writeback:0 [ 1282.267066] slab_reclaimable:7314 slab_unreclaimable:62789 [ 1282.267066] mapped:69956 shmem:122 pagetables:3243 bounce:0 [ 1282.267066] kernel_misc_reclaimable:0 [ 1282.267066] free:3503 free_pcp:291 free_cma:0 [ 1282.271693] Node 0 active_anon:29688kB inactive_anon:146564kB active_file:2692kB inactive_file:2652kB unevictable:0kB isolated(anon):0kB isolated(file):68kB mapped:279824kB dirty:44kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6400kB pagetables:12972kB all_unreclaimable? no [ 1282.275170] Node 0 DMA free:6516kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:16kB active_file:0kB inactive_file:4kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1282.278543] lowmem_reserve[]: 0 1617 1617 1617 [ 1282.279214] Node 0 DMA32 free:8504kB boost:0kB min:5120kB low:6776kB high:8432kB reserved_highatomic:2048KB active_anon:29688kB inactive_anon:146548kB active_file:2936kB inactive_file:2972kB unevictable:0kB writepending:44kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:740kB local_pcp:432kB free_cma:0kB [ 1282.282833] lowmem_reserve[]: 0 0 0 0 [ 1282.283417] Node 0 DMA: 3*4kB (M) 3*8kB (UM) 1*16kB (M) 0*32kB 1*64kB (M) 2*128kB (UM) 2*256kB (UM) 1*512kB (M) 1*1024kB (M) 0*2048kB 1*4096kB (M) = 6516kB [ 1282.285394] Node 0 DMA32: 394*4kB (UMEH) 177*8kB (UMEH) 97*16kB (UMEH) 232*32kB (UMEH) 5*64kB (UM) 2*128kB (U) 1*256kB (U) 0*512kB 1*1024kB (H) 0*2048kB 0*4096kB = 13824kB [ 1282.288659] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1282.290084] 1470 total pagecache pages [ 1282.290639] 0 pages in swap cache [ 1282.291085] Swap cache stats: add 0, delete 0, find 0/0 [ 1282.291852] Free swap = 0kB [ 1282.292413] Total swap = 0kB [ 1282.292813] 524158 pages RAM [ 1282.293239] 0 pages HighMem/MovableOnly [ 1282.293748] 105117 pages reserved 10:17:28 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:17:28 executing program 0: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:29 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 5) [ 1282.639931] loop4: detected capacity change from 0 to 2232 [ 1282.651020] FAULT_INJECTION: forcing a failure. [ 1282.651020] name failslab, interval 1, probability 0, space 0, times 0 [ 1282.652513] CPU: 0 PID: 9210 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1282.653498] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1282.654918] Call Trace: [ 1282.655251] [ 1282.655543] dump_stack_lvl+0x8b/0xb3 [ 1282.656046] should_fail.cold+0x5/0xa [ 1282.656540] should_failslab+0x5/0x10 [ 1282.657033] __kmalloc_node_track_caller+0x7e/0x440 [ 1282.657667] ? netlink_sendmsg+0x98d/0xdf0 [ 1282.658272] __alloc_skb+0xe3/0x340 [ 1282.658783] netlink_sendmsg+0x98d/0xdf0 [ 1282.659344] ? netlink_unicast+0x7f0/0x7f0 [ 1282.659925] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1282.660652] ? netlink_unicast+0x7f0/0x7f0 [ 1282.661230] sock_sendmsg+0x150/0x190 [ 1282.661800] ____sys_sendmsg+0x709/0x870 [ 1282.662425] ? kernel_sendmsg+0x50/0x50 [ 1282.662972] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1282.663584] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1282.664285] ? lock_release+0x3b2/0x6f0 [ 1282.664817] ? __might_fault+0xd1/0x170 [ 1282.665368] ___sys_sendmsg+0xf3/0x170 [ 1282.665931] ? sendmsg_copy_msghdr+0x160/0x160 [ 1282.666555] ? lock_release+0x3b2/0x6f0 [ 1282.667088] ? lock_downgrade+0x6d0/0x6d0 [ 1282.667643] ? lock_release+0x3b2/0x6f0 [ 1282.668171] ? ksys_write+0x212/0x250 [ 1282.668691] ? lock_downgrade+0x6d0/0x6d0 [ 1282.669256] ? __fget_files+0x28d/0x470 [ 1282.669806] ? __fget_light+0xea/0x280 [ 1282.670328] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1282.671054] __sys_sendmsg+0xe5/0x1b0 [ 1282.671578] ? __sys_sendmsg_sock+0x30/0x30 [ 1282.672158] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1282.672894] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1282.673600] do_syscall_64+0x3b/0x90 [ 1282.674113] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1282.674802] RIP: 0033:0x7f21f360ab19 [ 1282.675292] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1282.677616] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1282.678644] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1282.679552] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1282.680457] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1282.681373] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1282.682296] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1282.683227] [ 1282.690279] isofs_fill_super: get root inode failed 10:17:43 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:17:43 executing program 7: socket$inet_udp(0x2, 0x2, 0x0) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:43 executing program 0: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:43 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:43 executing program 2: r0 = openat$tcp_mem(0xffffffffffffff9c, &(0x7f0000000000)='/proc/sys/net/ipv4/tcp_rmem\x00', 0x1, 0x0) write$binfmt_aout(r0, &(0x7f00000000c0)=ANY=[@ANYRESHEX, @ANYRESOCT], 0x20) r1 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r1, 0xffffffffffffffff, 0x0) fcntl$setlease(r1, 0x400, 0xf68fdd3cc974f983) r2 = pidfd_getfd(0xffffffffffffffff, 0xffffffffffffffff, 0x0) pidfd_getfd(r2, 0xffffffffffffffff, 0x0) ioctl$TUNGETIFF(r2, 0x800454d2, &(0x7f0000000100)={'caif0\x00'}) r3 = openat2(0xffffffffffffffff, &(0x7f0000000040)='\x00', &(0x7f0000000080)={0x40, 0x80}, 0x18) dup2(r1, r3) 10:17:43 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, 0x0, 0x0) [ 1296.113439] FAULT_INJECTION: forcing a failure. [ 1296.113439] name failslab, interval 1, probability 0, space 0, times 0 [ 1296.114227] CPU: 1 PID: 9224 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1296.114723] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1296.115419] Call Trace: [ 1296.115586] [ 1296.115737] dump_stack_lvl+0x8b/0xb3 [ 1296.115995] should_fail.cold+0x5/0xa [ 1296.116246] ? create_object.isra.0+0x3a/0xa20 [ 1296.116552] should_failslab+0x5/0x10 [ 1296.116800] kmem_cache_alloc+0x5b/0x480 [ 1296.117068] create_object.isra.0+0x3a/0xa20 [ 1296.117357] ? kasan_unpoison+0x23/0x50 [ 1296.117621] __kmalloc_node_track_caller+0x269/0x440 [ 1296.117943] ? netlink_sendmsg+0x98d/0xdf0 [ 1296.118251] __alloc_skb+0xe3/0x340 [ 1296.118499] netlink_sendmsg+0x98d/0xdf0 [ 1296.118769] ? netlink_unicast+0x7f0/0x7f0 [ 1296.119049] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1296.119403] ? netlink_unicast+0x7f0/0x7f0 [ 1296.119677] sock_sendmsg+0x150/0x190 [ 1296.119929] ____sys_sendmsg+0x709/0x870 [ 1296.120196] ? kernel_sendmsg+0x50/0x50 [ 1296.120454] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1296.120755] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1296.121091] ? lock_release+0x3b2/0x6f0 [ 1296.121349] ? __might_fault+0xd1/0x170 [ 1296.121617] ___sys_sendmsg+0xf3/0x170 [ 1296.121876] ? sendmsg_copy_msghdr+0x160/0x160 [ 1296.122197] ? lock_release+0x3b2/0x6f0 [ 1296.122457] ? lock_downgrade+0x6d0/0x6d0 [ 1296.122727] ? lock_release+0x3b2/0x6f0 [ 1296.122984] ? ksys_write+0x212/0x250 [ 1296.123237] ? lock_downgrade+0x6d0/0x6d0 [ 1296.123511] ? __fget_files+0x28d/0x470 [ 1296.123780] ? __fget_light+0xea/0x280 [ 1296.124031] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1296.124386] __sys_sendmsg+0xe5/0x1b0 [ 1296.124640] ? __sys_sendmsg_sock+0x30/0x30 [ 1296.124921] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1296.125283] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1296.125627] do_syscall_64+0x3b/0x90 [ 1296.125872] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1296.126225] RIP: 0033:0x7f21f360ab19 [ 1296.126347] loop4: detected capacity change from 0 to 2232 [ 1296.126465] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1296.128428] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1296.128903] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1296.129348] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1296.129794] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1296.130273] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1296.130719] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1296.131177] 10:17:43 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="01434430303101004c494e55582020202020202020202020202020202020202020202020202020204344524f4d20202020202020202020202020202020202020202020202020202000000000000000002301000000000123000000000000000000000000000000000000000000000000000000000000000001000001010000010008080018000000000000180d010000000000000000010f000000002200150100000000011500080000000008007809140b2a3a08020000010000010100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202073797a6b616c6c65722020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202047454e49534f494d4147452049534f20393636302f4846532046494c4553595354454d2043524541544f5220284329203139393320452e594f554e4744414c452028432920313939372d32303036204a2e50454152534f4e2f4a2e534348494c4c494e472028432920323030362d32303037204344524b4954205445414d202066696c6533202020202020202020202020202020202020202020202020202020202020202066696c6531202020202020202020202020202020202020202020202020202020202020202066696c6532202020202020202020202020202020202020202020202020202020202020202032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:17:43 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 6) 10:17:43 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:17:43 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 7) [ 1296.175031] isofs_fill_super: get root inode failed 10:17:43 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev={0xac, 0x14, 0x14, 0xa7}, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) [ 1296.198799] FAULT_INJECTION: forcing a failure. [ 1296.198799] name fail_usercopy, interval 1, probability 0, space 0, times 0 [ 1296.199602] CPU: 1 PID: 9233 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1296.200097] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1296.200798] Call Trace: [ 1296.200965] [ 1296.201118] dump_stack_lvl+0x8b/0xb3 [ 1296.201376] should_fail.cold+0x5/0xa [ 1296.201627] _copy_from_iter+0x37d/0x14d0 [ 1296.201901] ? hash_and_copy_to_iter+0x210/0x210 [ 1296.202229] ? rcu_read_lock_sched_held+0x3a/0x70 [ 1296.202543] ? memset+0x20/0x40 [ 1296.202769] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1296.203118] ? __virt_addr_valid+0xe9/0x310 [ 1296.203402] ? __sanitizer_cov_trace_const_cmp1+0x22/0x80 [ 1296.203752] ? __sanitizer_cov_trace_cmp8+0x1d/0x70 [ 1296.204077] ? __check_object_size+0x1b5/0x4e0 [ 1296.204382] netlink_sendmsg+0x86b/0xdf0 [ 1296.204707] ? netlink_unicast+0x7f0/0x7f0 [ 1296.205109] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1296.205466] ? netlink_unicast+0x7f0/0x7f0 [ 1296.205743] sock_sendmsg+0x150/0x190 [ 1296.206000] ____sys_sendmsg+0x709/0x870 [ 1296.206304] ? kernel_sendmsg+0x50/0x50 [ 1296.206563] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1296.206866] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1296.207205] ? lock_release+0x3b2/0x6f0 [ 1296.207464] ? __might_fault+0xd1/0x170 [ 1296.207738] ___sys_sendmsg+0xf3/0x170 [ 1296.208000] ? sendmsg_copy_msghdr+0x160/0x160 [ 1296.208300] ? lock_release+0x3b2/0x6f0 [ 1296.208564] ? lock_downgrade+0x6d0/0x6d0 [ 1296.208834] ? lock_release+0x3b2/0x6f0 [ 1296.209155] ? ksys_write+0x212/0x250 [ 1296.209544] ? lock_downgrade+0x6d0/0x6d0 [ 1296.209818] ? __fget_files+0x28d/0x470 [ 1296.210104] ? __fget_light+0xea/0x280 [ 1296.210362] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1296.210714] __sys_sendmsg+0xe5/0x1b0 [ 1296.210970] ? __sys_sendmsg_sock+0x30/0x30 [ 1296.211251] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1296.211614] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1296.211956] do_syscall_64+0x3b/0x90 [ 1296.212204] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1296.212541] RIP: 0033:0x7f21f360ab19 [ 1296.212787] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1296.213909] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1296.214406] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1296.214857] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1296.215304] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1296.215749] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1296.216192] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1296.216655] 10:17:43 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:17:43 executing program 2: openat$tcp_mem(0xffffffffffffff9c, &(0x7f00000001c0)='/proc/sys/net/ipv4/tcp_wmem\x00', 0x1, 0x0) syz_mount_image$ext4(&(0x7f0000001340)='ext3\x00', &(0x7f0000001380)='./file0\x00', 0x0, 0x2, &(0x7f0000001680)=[{&(0x7f00000013c0)="03", 0x1, 0x80000000}, {0x0}], 0x0, &(0x7f0000001700)={[{@usrquota}], [{@smackfsfloor={'smackfsfloor', 0x3d, '}'}}]}) io_submit(0x0, 0x0, 0x0) openat$snapshot(0xffffffffffffff9c, &(0x7f0000001a00), 0x4900, 0x0) 10:17:43 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, 0x0, 0x0) 10:17:43 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:17:43 executing program 7: socket$inet_udp(0x2, 0x2, 0x0) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:43 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x130, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}]}, 0x130}}, 0x0) 10:17:43 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a080200000100000101002200170100000000011700080000000008007809140b2a3a0802000001000001010134001a0100000000011a6400", 0x50, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:17:43 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x130, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}]}, 0x130}}, 0x0) [ 1296.406365] loop4: detected capacity change from 0 to 2232 [ 1297.086282] warn_alloc: 1 callbacks suppressed [ 1297.086297] syz-executor.6: vmalloc error: size 893661184, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz6,mems_allowed=0 [ 1297.087624] CPU: 1 PID: 9226 Comm: syz-executor.6 Not tainted 5.16.0-next-20220120 #1 [ 1297.088114] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1297.088808] Call Trace: [ 1297.088982] [ 1297.089132] dump_stack_lvl+0x8b/0xb3 [ 1297.089391] warn_alloc.cold+0x95/0x18a [ 1297.089654] ? zone_watermark_ok_safe+0x240/0x240 [ 1297.089969] ? __schedule+0x88a/0x2190 [ 1297.090250] ? io_schedule_timeout+0x180/0x180 [ 1297.090554] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1297.090857] __vmalloc_node_range+0xe7a/0x10b0 [ 1297.091161] ? __vmalloc_node_no_huge+0x110/0x110 [ 1297.091471] ? lock_is_held_type+0xd7/0x130 [ 1297.091757] ? packet_set_ring+0xb60/0x1b20 [ 1297.092040] __vmalloc_node+0xb5/0x100 [ 1297.092295] ? packet_set_ring+0xb60/0x1b20 [ 1297.092579] packet_set_ring+0xb60/0x1b20 [ 1297.092864] packet_setsockopt+0x1b4f/0x3c40 [ 1297.093158] ? lock_release+0x3b2/0x6f0 [ 1297.093418] ? __fget_files+0x26b/0x470 [ 1297.093677] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1297.094034] ? packet_bind+0x1b0/0x1b0 [ 1297.094303] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1297.094649] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1297.095004] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1297.095353] ? selinux_socket_setsockopt+0x6a/0x80 [ 1297.095805] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1297.096312] __sys_setsockopt+0x180/0x2a0 [ 1297.096589] ? packet_bind+0x1b0/0x1b0 [ 1297.096846] ? __ia32_sys_recv+0x100/0x100 [ 1297.097134] __x64_sys_setsockopt+0xba/0x150 [ 1297.097541] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1297.097991] do_syscall_64+0x3b/0x90 [ 1297.098256] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1297.098593] RIP: 0033:0x7f18da655b19 [ 1297.098834] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1297.099953] RSP: 002b:00007f18d7bcb188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1297.100430] RAX: ffffffffffffffda RBX: 00007f18da768f60 RCX: 00007f18da655b19 [ 1297.100875] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000003 [ 1297.101320] RBP: 00007f18da6aff6d R08: 0000000000000010 R09: 0000000000000000 [ 1297.101762] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1297.102210] R13: 00007fffc4ea57df R14: 00007f18d7bcb300 R15: 0000000000022000 [ 1297.102668] [ 1297.102875] Mem-Info: [ 1297.103040] active_anon:7439 inactive_anon:36759 isolated_anon:0 [ 1297.103040] active_file:163 inactive_file:171 isolated_file:5 [ 1297.103040] unevictable:0 dirty:20 writeback:0 [ 1297.103040] slab_reclaimable:7293 slab_unreclaimable:63274 [ 1297.103040] mapped:69867 shmem:122 pagetables:3231 bounce:0 [ 1297.103040] kernel_misc_reclaimable:0 [ 1297.103040] free:3546 free_pcp:93 free_cma:0 [ 1297.105189] Node 0 active_anon:29756kB inactive_anon:147036kB active_file:652kB inactive_file:684kB unevictable:0kB isolated(anon):0kB isolated(file):20kB mapped:279468kB dirty:80kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6368kB pagetables:12924kB all_unreclaimable? no [ 1297.106724] Node 0 DMA free:6512kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:12kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1297.108292] lowmem_reserve[]: 0 1617 1617 1617 [ 1297.108598] Node 0 DMA32 free:7672kB boost:0kB min:5120kB low:6776kB high:8432kB reserved_highatomic:2048KB active_anon:29756kB inactive_anon:147024kB active_file:1128kB inactive_file:656kB unevictable:0kB writepending:248kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:480kB local_pcp:248kB free_cma:0kB [ 1297.110348] lowmem_reserve[]: 0 0 0 0 [ 1297.110608] Node 0 DMA: 0*4kB 0*8kB 1*16kB (U) 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6512kB [ 1297.111484] Node 0 DMA32: 411*4kB (MEH) 158*8kB (MEH) 69*16kB (UMEH) 37*32kB (MEH) 4*64kB (UMH) 2*128kB (UH) 3*256kB (UH) 0*512kB 1*1024kB (H) 0*2048kB 0*4096kB = 7500kB [ 1297.112506] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1297.113027] 422 total pagecache pages [ 1297.113291] 0 pages in swap cache [ 1297.113510] Swap cache stats: add 0, delete 0, find 0/0 [ 1297.113842] Free swap = 0kB [ 1297.114040] Total swap = 0kB [ 1297.114276] 524158 pages RAM [ 1297.114471] 0 pages HighMem/MovableOnly [ 1297.114715] 105117 pages reserved 10:17:58 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:17:58 executing program 7: socket$inet_udp(0x2, 0x2, 0x0) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:58 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, 0x0, 0x0) 10:17:58 executing program 6: setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:58 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x130, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}]}, 0x130}}, 0x0) 10:17:58 executing program 2: r0 = openat$ptmx(0xffffffffffffff9c, &(0x7f0000000080), 0x0, 0x0) ioctl$VT_RESIZE(r0, 0x5609, &(0x7f0000000000)={0xfffc, 0x7, 0x7}) ioctl$TIOCSPTLCK(r0, 0x40045431, &(0x7f0000000040)) r1 = openat$procfs(0xffffffffffffff9c, &(0x7f0000000040)='/proc/asound/seq/clients\x00', 0x0, 0x0) preadv(r1, &(0x7f0000000740)=[{&(0x7f0000000180)=""/110, 0x6e}], 0x1, 0x0, 0x0) r2 = ioctl$TIOCGPTPEER(r0, 0x5441, 0x881) write$binfmt_elf32(r2, &(0x7f00000001c0)=ANY=[], 0xfffffecb) 10:17:58 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="01434430303101004c494e55582020202020202020202020202020202020202020202020202020204344524f4d20202020202020202020202020202020202020202020202020202000000000000000002301000000000123000000000000000000000000000000000000000000000000000000000000000001000001010000010008080018000000000000180d010000000000000000010f000000002200150100000000011500080000000008007809140b2a3a08020000010000010100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202073797a6b616c6c65722020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202047454e49534f494d4147452049534f20393636302f4846532046494c4553595354454d2043524541544f5220284329203139393320452e594f554e4744414c452028432920313939372d32303036204a2e50454152534f4e2f4a2e534348494c4c494e472028432920323030362d32303037204344524b4954205445414d202066696c6533202020202020202020202020202020202020202020202020202020202020202066696c6531202020202020202020202020202020202020202020202020202020202020202066696c6532202020202020202020202020202020202020202020202020202020202020202032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:17:58 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 8) [ 1311.419510] loop4: detected capacity change from 0 to 2232 [ 1311.430472] FAULT_INJECTION: forcing a failure. [ 1311.430472] name failslab, interval 1, probability 0, space 0, times 0 [ 1311.431937] CPU: 1 PID: 9274 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1311.432938] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1311.434442] Call Trace: [ 1311.434768] [ 1311.435065] dump_stack_lvl+0x8b/0xb3 [ 1311.435567] should_fail.cold+0x5/0xa [ 1311.436054] ? xfrm_policy_alloc+0x91/0x460 [ 1311.436607] should_failslab+0x5/0x10 [ 1311.437089] kmem_cache_alloc_trace+0x55/0x3c0 [ 1311.437749] xfrm_policy_alloc+0x91/0x460 [ 1311.438297] xfrm_policy_construct+0x28/0x530 [ 1311.438900] xfrm_add_policy+0x391/0x7a0 [ 1311.439424] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1311.440125] ? xfrm_policy_construct+0x530/0x530 [ 1311.440734] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1311.441428] ? security_capable+0x95/0xc0 [ 1311.441956] ? __nla_parse+0x3e/0x50 [ 1311.442462] ? xfrm_policy_construct+0x530/0x530 [ 1311.443059] xfrm_user_rcv_msg+0x412/0x830 [ 1311.443597] ? copy_to_user_tmpl.part.0+0x610/0x610 [ 1311.444217] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1311.444935] ? __mutex_lock+0x215/0x1480 [ 1311.445473] netlink_rcv_skb+0x14b/0x430 [ 1311.446019] ? copy_to_user_tmpl.part.0+0x610/0x610 [ 1311.446671] ? netlink_ack+0xa60/0xa60 [ 1311.447235] ? lock_release+0x3b2/0x6f0 [ 1311.447871] ? netlink_deliver_tap+0x1b2/0xc30 [ 1311.448486] ? __sanitizer_cov_trace_cmp8+0x1d/0x70 [ 1311.449151] xfrm_netlink_rcv+0x6b/0x90 [ 1311.449667] netlink_unicast+0x540/0x7f0 [ 1311.450199] ? netlink_attachskb+0x880/0x880 [ 1311.450775] ? __virt_addr_valid+0xe9/0x310 [ 1311.451344] netlink_sendmsg+0x904/0xdf0 [ 1311.451870] ? netlink_unicast+0x7f0/0x7f0 [ 1311.452433] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1311.453267] ? netlink_unicast+0x7f0/0x7f0 [ 1311.453819] sock_sendmsg+0x150/0x190 [ 1311.454351] ____sys_sendmsg+0x709/0x870 [ 1311.454891] ? kernel_sendmsg+0x50/0x50 [ 1311.455419] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1311.456032] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1311.456714] ? lock_release+0x3b2/0x6f0 [ 1311.457240] ? __might_fault+0xd1/0x170 [ 1311.457781] ___sys_sendmsg+0xf3/0x170 [ 1311.458304] ? sendmsg_copy_msghdr+0x160/0x160 [ 1311.458922] ? lock_release+0x3b2/0x6f0 [ 1311.459439] ? lock_downgrade+0x6d0/0x6d0 [ 1311.460096] ? lock_release+0x3b2/0x6f0 [ 1311.460846] ? ksys_write+0x212/0x250 [ 1311.461577] ? lock_downgrade+0x6d0/0x6d0 [ 1311.462601] ? __fget_files+0x28d/0x470 [ 1311.463274] ? __fget_light+0xea/0x280 [ 1311.463832] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1311.464547] __sys_sendmsg+0xe5/0x1b0 [ 1311.465171] ? __sys_sendmsg_sock+0x30/0x30 [ 1311.465762] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1311.466496] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1311.467221] do_syscall_64+0x3b/0x90 [ 1311.467708] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1311.468378] RIP: 0033:0x7f21f360ab19 [ 1311.468856] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1311.471112] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1311.472078] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1311.472980] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1311.473901] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1311.475035] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1311.476158] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1311.477199] 10:17:58 executing program 6: setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:58 executing program 7: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:58 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x0, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:58 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, 0x0}, 0x0) [ 1311.514488] isofs_fill_super: get root inode failed 10:17:58 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev, 0x3505, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:17:58 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:17:58 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, 0x0}, 0x0) 10:17:58 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x0, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:58 executing program 6: setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:58 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev, 0x0, 0x0, 0x0, 0x8, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) [ 1311.760523] loop4: detected capacity change from 0 to 2232 10:17:58 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 9) [ 1311.805496] isofs_fill_super: get root inode failed 10:17:58 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x0, 0x81, 0x400, 0x773ca00}, 0x10) [ 1311.863704] FAULT_INJECTION: forcing a failure. [ 1311.863704] name failslab, interval 1, probability 0, space 0, times 0 [ 1311.865210] CPU: 1 PID: 9299 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1311.866189] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1311.867594] Call Trace: 10:17:58 executing program 6: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:17:58 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, 0x0}, 0x0) [ 1311.868062] [ 1311.868366] dump_stack_lvl+0x8b/0xb3 [ 1311.868890] should_fail.cold+0x5/0xa [ 1311.869384] ? create_object.isra.0+0x3a/0xa20 [ 1311.869982] should_failslab+0x5/0x10 [ 1311.870473] kmem_cache_alloc+0x5b/0x480 [ 1311.870993] create_object.isra.0+0x3a/0xa20 [ 1311.871558] ? kasan_unpoison+0x23/0x50 [ 1311.872080] kmem_cache_alloc_trace+0x22e/0x3c0 [ 1311.872682] xfrm_policy_alloc+0x91/0x460 [ 1311.873226] xfrm_policy_construct+0x28/0x530 [ 1311.873802] xfrm_add_policy+0x391/0x7a0 [ 1311.874341] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1311.875063] ? xfrm_policy_construct+0x530/0x530 [ 1311.875686] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1311.876396] ? security_capable+0x95/0xc0 [ 1311.876946] ? __nla_parse+0x3e/0x50 [ 1311.877446] ? xfrm_policy_construct+0x530/0x530 [ 1311.878060] xfrm_user_rcv_msg+0x412/0x830 [ 1311.878636] ? copy_to_user_tmpl.part.0+0x610/0x610 [ 1311.879278] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1311.880001] ? __mutex_lock+0x215/0x1480 [ 1311.880542] netlink_rcv_skb+0x14b/0x430 [ 1311.881087] ? copy_to_user_tmpl.part.0+0x610/0x610 [ 1311.881741] ? netlink_ack+0xa60/0xa60 [ 1311.882265] ? lock_release+0x3b2/0x6f0 [ 1311.882814] ? netlink_deliver_tap+0x1b2/0xc30 [ 1311.883420] ? __sanitizer_cov_trace_cmp8+0x1d/0x70 10:17:58 executing program 2: r0 = syz_open_dev$sg(&(0x7f0000001000), 0x0, 0x0) ioctl$SCSI_IOCTL_SEND_COMMAND(r0, 0x1, &(0x7f0000000000)=ANY=[@ANYBLOB="000000001800000085", @ANYBLOB="18d2"]) clone3(&(0x7f00000002c0)={0x0, &(0x7f0000000080), &(0x7f00000000c0), &(0x7f0000000100)=0x0, {}, &(0x7f0000000140)=""/220, 0xdc, &(0x7f0000000240)=""/23, &(0x7f0000000280)=[0xffffffffffffffff, 0x0, 0x0, 0xffffffffffffffff], 0x4}, 0x58) ioctl$BLKTRACESETUP(r0, 0xc0481273, &(0x7f0000000340)={'\x00', 0x3ff, 0x7, 0x1, 0x4, 0x7f, r1}) ioctl$SG_EMULATED_HOST(r0, 0x2203, &(0x7f0000000040)) [ 1311.884075] xfrm_netlink_rcv+0x6b/0x90 [ 1311.884731] netlink_unicast+0x540/0x7f0 [ 1311.885283] ? netlink_attachskb+0x880/0x880 [ 1311.885864] ? __virt_addr_valid+0xe9/0x310 [ 1311.886471] netlink_sendmsg+0x904/0xdf0 [ 1311.887016] ? netlink_unicast+0x7f0/0x7f0 [ 1311.887593] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1311.888300] ? netlink_unicast+0x7f0/0x7f0 [ 1311.888859] sock_sendmsg+0x150/0x190 [ 1311.889372] ____sys_sendmsg+0x709/0x870 [ 1311.889916] ? kernel_sendmsg+0x50/0x50 [ 1311.890456] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1311.891067] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1311.891402] program syz-executor.2 is using a deprecated SCSI ioctl, please convert it to SG_IO [ 1311.891748] ? lock_release+0x3b2/0x6f0 [ 1311.893424] ? __might_fault+0xd1/0x170 [ 1311.893966] ___sys_sendmsg+0xf3/0x170 [ 1311.894502] ? sendmsg_copy_msghdr+0x160/0x160 [ 1311.895105] ? lock_release+0x3b2/0x6f0 [ 1311.895634] ? lock_downgrade+0x6d0/0x6d0 [ 1311.896179] ? lock_release+0x3b2/0x6f0 [ 1311.896690] ? ksys_write+0x212/0x250 [ 1311.897200] ? lock_downgrade+0x6d0/0x6d0 [ 1311.897758] ? __fget_files+0x28d/0x470 [ 1311.898318] ? __fget_light+0xea/0x280 [ 1311.898825] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1311.899551] __sys_sendmsg+0xe5/0x1b0 [ 1311.900051] ? __sys_sendmsg_sock+0x30/0x30 [ 1311.900625] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1311.901340] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1311.902034] do_syscall_64+0x3b/0x90 [ 1311.902525] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1311.903246] RIP: 0033:0x7f21f360ab19 [ 1311.903941] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1311.906218] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1311.907160] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1311.908065] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1311.908935] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1311.909838] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000001 [ 1311.910722] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1311.911649] 10:17:58 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev, 0x0, 0x0, 0x0, 0x0, 0x2, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:17:58 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1312.066829] program syz-executor.2 is using a deprecated SCSI ioctl, please convert it to SG_IO [ 1312.118102] blktrace: Concurrent blktraces are not allowed on sg0 [ 1312.446806] syz-executor.7: vmalloc error: size 878804992, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz7,mems_allowed=0 [ 1312.448946] CPU: 0 PID: 9284 Comm: syz-executor.7 Not tainted 5.16.0-next-20220120 #1 [ 1312.449980] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1312.451566] Call Trace: [ 1312.451923] [ 1312.452222] dump_stack_lvl+0x8b/0xb3 [ 1312.452761] warn_alloc.cold+0x95/0x18a [ 1312.453295] ? zone_watermark_ok_safe+0x240/0x240 [ 1312.453940] ? asm_sysvec_apic_timer_interrupt+0x12/0x20 [ 1312.454697] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1312.455310] __vmalloc_node_range+0xe7a/0x10b0 [ 1312.455936] ? __vmalloc_node_no_huge+0x110/0x110 [ 1312.456563] ? lock_is_held_type+0xd7/0x130 [ 1312.457164] ? packet_set_ring+0xb60/0x1b20 [ 1312.457751] __vmalloc_node+0xb5/0x100 [ 1312.458250] ? packet_set_ring+0xb60/0x1b20 [ 1312.458854] packet_set_ring+0xb60/0x1b20 [ 1312.459437] packet_setsockopt+0x1b4f/0x3c40 [ 1312.460068] ? lock_release+0x3b2/0x6f0 [ 1312.460625] ? __fget_files+0x26b/0x470 [ 1312.461179] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1312.461931] ? packet_bind+0x1b0/0x1b0 [ 1312.462490] ? finish_task_switch.isra.0+0x221/0x870 [ 1312.463189] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1312.463932] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1312.464698] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1312.465418] ? selinux_socket_setsockopt+0x6a/0x80 [ 1312.466095] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1312.466857] __sys_setsockopt+0x180/0x2a0 [ 1312.467422] ? packet_bind+0x1b0/0x1b0 [ 1312.467978] ? __ia32_sys_recv+0x100/0x100 [ 1312.468575] __x64_sys_setsockopt+0xba/0x150 [ 1312.469212] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1312.469924] do_syscall_64+0x3b/0x90 [ 1312.470451] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1312.471153] RIP: 0033:0x7fee444e7b19 [ 1312.471666] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1312.474033] RSP: 002b:00007fee41a5d188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1312.475055] RAX: ffffffffffffffda RBX: 00007fee445faf60 RCX: 00007fee444e7b19 [ 1312.476001] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000003 [ 1312.476956] RBP: 00007fee44541f6d R08: 0000000000000010 R09: 0000000000000000 [ 1312.477895] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1312.478852] R13: 00007ffe905bbd5f R14: 00007fee41a5d300 R15: 0000000000022000 [ 1312.479832] [ 1312.480492] Mem-Info: [ 1312.480982] active_anon:7424 inactive_anon:36749 isolated_anon:0 [ 1312.480982] active_file:1054 inactive_file:1255 isolated_file:32 [ 1312.480982] unevictable:0 dirty:3 writeback:0 [ 1312.480982] slab_reclaimable:7252 slab_unreclaimable:62713 [ 1312.480982] mapped:71706 shmem:122 pagetables:3221 bounce:0 [ 1312.480982] kernel_misc_reclaimable:0 [ 1312.480982] free:5560 free_pcp:298 free_cma:0 [ 1312.485917] Node 0 active_anon:29696kB inactive_anon:146996kB active_file:4300kB inactive_file:5020kB unevictable:0kB isolated(anon):0kB isolated(file):128kB mapped:286824kB dirty:12kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6368kB pagetables:12884kB all_unreclaimable? no [ 1312.489462] Node 0 DMA free:6508kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1312.493054] lowmem_reserve[]: 0 1617 1617 1617 [ 1312.493761] Node 0 DMA32 free:15032kB boost:8192kB min:13312kB low:14968kB high:16624kB reserved_highatomic:2048KB active_anon:29696kB inactive_anon:146996kB active_file:4364kB inactive_file:4984kB unevictable:0kB writepending:12kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1516kB local_pcp:584kB free_cma:0kB [ 1312.497814] lowmem_reserve[]: 0 0 0 0 [ 1312.498612] Node 0 DMA: 1*4kB (U) 1*8kB (U) 0*16kB 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6508kB [ 1312.500680] Node 0 DMA32: 523*4kB (UMEH) 343*8kB (UMEH) 233*16kB (UMEH) 106*32kB (UMEH) 27*64kB (MEH) 4*128kB (MH) 3*256kB (UH) 0*512kB 1*1024kB (H) 0*2048kB 0*4096kB = 15988kB [ 1312.503148] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1312.504556] 2390 total pagecache pages [ 1312.505344] 0 pages in swap cache [ 1312.506014] Swap cache stats: add 0, delete 0, find 0/0 [ 1312.506786] Free swap = 0kB [ 1312.507440] Total swap = 0kB [ 1312.507947] 524158 pages RAM [ 1312.508502] 0 pages HighMem/MovableOnly [ 1312.509301] 105117 pages reserved 10:18:21 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={0x0}}, 0x0) 10:18:21 executing program 2: syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file1\x00', 0x0, 0x0, 0x0, 0x0, 0x0) stat(&(0x7f0000000000)='./file0\x00', &(0x7f0000000140)) mount(0x0, &(0x7f00000000c0)='./file1\x00', &(0x7f0000000040)='ramfs\x00', 0x0, 0x0) move_mount(0xffffffffffffff9c, &(0x7f0000000480)='./file1\x00', 0xffffffffffffff9c, &(0x7f00000004c0)='.\x00', 0x35) 10:18:22 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x0, 0x400, 0x773ca00}, 0x10) 10:18:22 executing program 6: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:22 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev, 0x0, 0x0, 0x0, 0x0, 0x0, 0x40, 0x5}]}]}, 0x174}}, 0x0) 10:18:22 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="01434430303101004c494e55582020202020202020202020202020202020202020202020202020204344524f4d20202020202020202020202020202020202020202020202020202000000000000000002301000000000123000000000000000000000000000000000000000000000000000000000000000001000001010000010008080018000000000000180d010000000000000000010f000000002200150100000000011500080000000008007809140b2a3a08020000010000010100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202073797a6b616c6c65722020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202047454e49534f494d4147452049534f20393636302f4846532046494c4553595354454d2043524541544f5220284329203139393320452e594f554e4744414c452028432920313939372d32303036204a2e50454152534f4e2f4a2e534348494c4c494e472028432920323030362d32303037204344524b4954205445414d202066696c6533202020202020202020202020202020202020202020202020202020202020202066696c6531202020202020202020202020202020202020202020202020202020202020202066696c6532202020202020202020202020202020202020202020202020202020202020202032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a08020000010000010100220017010000", 0x28, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:18:22 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 10) [ 1335.119506] loop4: detected capacity change from 0 to 2232 [ 1335.128137] FAULT_INJECTION: forcing a failure. [ 1335.128137] name failslab, interval 1, probability 0, space 0, times 0 [ 1335.129616] CPU: 1 PID: 9333 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1335.130598] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1335.132103] Call Trace: [ 1335.132434] [ 1335.132732] dump_stack_lvl+0x8b/0xb3 [ 1335.133237] should_fail.cold+0x5/0xa [ 1335.133736] ? __alloc_skb+0x211/0x340 [ 1335.134253] should_failslab+0x5/0x10 [ 1335.134770] kmem_cache_alloc_node+0x55/0x490 [ 1335.135359] __alloc_skb+0x211/0x340 [ 1335.135866] netlink_ack+0x1f0/0xa60 [ 1335.136453] ? netlink_sendmsg+0xdf0/0xdf0 [ 1335.137007] ? __mutex_lock+0x215/0x1480 [ 1335.137539] netlink_rcv_skb+0x348/0x430 [ 1335.138063] ? copy_to_user_tmpl.part.0+0x610/0x610 [ 1335.138707] ? netlink_ack+0xa60/0xa60 [ 1335.139221] ? lock_release+0x3b2/0x6f0 [ 1335.139747] ? netlink_deliver_tap+0x1b2/0xc30 [ 1335.140340] ? __sanitizer_cov_trace_cmp8+0x1d/0x70 [ 1335.140988] xfrm_netlink_rcv+0x6b/0x90 [ 1335.141495] netlink_unicast+0x540/0x7f0 [ 1335.142030] ? netlink_attachskb+0x880/0x880 [ 1335.142606] ? __virt_addr_valid+0xe9/0x310 [ 1335.143187] netlink_sendmsg+0x904/0xdf0 [ 1335.143730] ? netlink_unicast+0x7f0/0x7f0 [ 1335.144289] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1335.144984] ? netlink_unicast+0x7f0/0x7f0 [ 1335.145537] sock_sendmsg+0x150/0x190 [ 1335.146038] ____sys_sendmsg+0x709/0x870 [ 1335.146569] ? kernel_sendmsg+0x50/0x50 [ 1335.147108] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1335.147711] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1335.148378] ? lock_release+0x3b2/0x6f0 [ 1335.148892] ? __might_fault+0xd1/0x170 [ 1335.149430] ___sys_sendmsg+0xf3/0x170 [ 1335.149944] ? sendmsg_copy_msghdr+0x160/0x160 [ 1335.150544] ? lock_release+0x3b2/0x6f0 [ 1335.151065] ? lock_downgrade+0x6d0/0x6d0 [ 1335.151602] ? lock_release+0x3b2/0x6f0 [ 1335.152115] ? ksys_write+0x212/0x250 [ 1335.152612] ? lock_downgrade+0x6d0/0x6d0 [ 1335.153159] ? __fget_files+0x28d/0x470 [ 1335.153688] ? __fget_light+0xea/0x280 [ 1335.154190] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1335.154916] __sys_sendmsg+0xe5/0x1b0 [ 1335.155424] ? __sys_sendmsg_sock+0x30/0x30 [ 1335.155983] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1335.156696] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1335.157376] do_syscall_64+0x3b/0x90 [ 1335.157866] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1335.158530] RIP: 0033:0x7f21f360ab19 10:18:22 executing program 7: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) [ 1335.159024] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1335.161545] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1335.162609] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1335.163519] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1335.164410] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1335.165299] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1335.166186] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1335.167114] [ 1350.359693] loop4: detected capacity change from 0 to 2232 10:18:37 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={0x0}}, 0x0) 10:18:37 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5}]}]}, 0x174}}, 0x0) 10:18:37 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 11) 10:18:37 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a08020000010000010100220017010000", 0x28, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:18:37 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x0, 0x400, 0x773ca00}, 0x10) 10:18:37 executing program 7: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:37 executing program 2: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:37 executing program 6: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:37 executing program 6: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) [ 1350.429658] FAULT_INJECTION: forcing a failure. [ 1350.429658] name failslab, interval 1, probability 0, space 0, times 0 [ 1350.431380] CPU: 0 PID: 9357 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1350.432387] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1350.433814] Call Trace: [ 1350.434151] [ 1350.434474] dump_stack_lvl+0x8b/0xb3 [ 1350.434992] should_fail.cold+0x5/0xa [ 1350.435501] ? create_object.isra.0+0x3a/0xa20 [ 1350.436106] should_failslab+0x5/0x10 [ 1350.436768] kmem_cache_alloc+0x5b/0x480 [ 1350.437355] create_object.isra.0+0x3a/0xa20 [ 1350.437943] ? kasan_unpoison+0x23/0x50 [ 1350.438495] kmem_cache_alloc_node+0x248/0x490 [ 1350.439135] __alloc_skb+0x211/0x340 [ 1350.439659] netlink_ack+0x1f0/0xa60 [ 1350.440174] ? netlink_sendmsg+0xdf0/0xdf0 [ 1350.440754] ? __mutex_lock+0x215/0x1480 [ 1350.441301] netlink_rcv_skb+0x348/0x430 [ 1350.441864] ? copy_to_user_tmpl.part.0+0x610/0x610 [ 1350.442547] ? netlink_ack+0xa60/0xa60 [ 1350.443093] ? lock_release+0x3b2/0x6f0 [ 1350.443648] ? netlink_deliver_tap+0x1b2/0xc30 [ 1350.444261] ? __sanitizer_cov_trace_cmp8+0x1d/0x70 [ 1350.444936] xfrm_netlink_rcv+0x6b/0x90 [ 1350.445484] netlink_unicast+0x540/0x7f0 [ 1350.446042] ? netlink_attachskb+0x880/0x880 [ 1350.446658] ? __virt_addr_valid+0xe9/0x310 [ 1350.447263] netlink_sendmsg+0x904/0xdf0 [ 1350.447823] ? netlink_unicast+0x7f0/0x7f0 [ 1350.448420] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1350.449243] ? netlink_unicast+0x7f0/0x7f0 [ 1350.449802] sock_sendmsg+0x150/0x190 [ 1350.450315] ____sys_sendmsg+0x709/0x870 [ 1350.450897] ? kernel_sendmsg+0x50/0x50 [ 1350.451428] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1350.452020] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1350.452702] ? lock_release+0x3b2/0x6f0 [ 1350.453269] ? __might_fault+0xd1/0x170 [ 1350.453817] ___sys_sendmsg+0xf3/0x170 [ 1350.454349] ? sendmsg_copy_msghdr+0x160/0x160 [ 1350.454969] ? lock_release+0x3b2/0x6f0 [ 1350.455499] ? lock_downgrade+0x6d0/0x6d0 [ 1350.456031] ? lock_release+0x3b2/0x6f0 [ 1350.456570] ? ksys_write+0x212/0x250 [ 1350.457062] ? lock_downgrade+0x6d0/0x6d0 [ 1350.457611] ? __fget_files+0x28d/0x470 [ 1350.458125] ? __fget_light+0xea/0x280 [ 1350.458647] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1350.459383] __sys_sendmsg+0xe5/0x1b0 [ 1350.459888] ? __sys_sendmsg_sock+0x30/0x30 [ 1350.460452] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1350.461161] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1350.461832] do_syscall_64+0x3b/0x90 [ 1350.462344] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1350.463025] RIP: 0033:0x7f21f360ab19 [ 1350.463510] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1350.465702] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1350.466662] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1350.467554] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1350.468436] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1350.469313] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1350.470189] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1350.471119] 10:18:37 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0x174, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@sec_ctx={0x78, 0x8, {0x74, 0x8, 0x0, 0x9, 0x6c, "bfb34b3ba45360ba5c9f20410f74e8a1d1b4ea4c50995c106d65003a6dbd887d6f94d7a310e82e17a6d5aa9dfe35dc3cad8b189832eb6a14bda8c1f3b83f1c6b8c508db4c947acd0ee2a46300b0c74adb50bf8b4e9e7579ef547ae62260df28ff3260f69f8afcc2813acb805"}}, @tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev}]}]}, 0x174}}, 0x0) 10:18:37 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={0x0}}, 0x0) 10:18:37 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x0, 0x400, 0x773ca00}, 0x10) 10:18:37 executing program 7: r0 = socket$packet(0x11, 0x0, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) [ 1351.223549] syz-executor.2: vmalloc error: size 905728000, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz2,mems_allowed=0 [ 1351.225694] CPU: 0 PID: 9347 Comm: syz-executor.2 Not tainted 5.16.0-next-20220120 #1 [ 1351.226818] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1351.228212] Call Trace: [ 1351.228539] [ 1351.228832] dump_stack_lvl+0x8b/0xb3 [ 1351.229330] warn_alloc.cold+0x95/0x18a [ 1351.229843] ? zone_watermark_ok_safe+0x240/0x240 [ 1351.230458] ? __schedule+0x88a/0x2190 [ 1351.230975] ? io_schedule_timeout+0x180/0x180 [ 1351.231577] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1351.232179] __vmalloc_node_range+0xe7a/0x10b0 [ 1351.232770] ? __vmalloc_node_no_huge+0x110/0x110 [ 1351.233378] ? lock_is_held_type+0xd7/0x130 [ 1351.233938] ? packet_set_ring+0xb60/0x1b20 [ 1351.234508] __vmalloc_node+0xb5/0x100 [ 1351.235009] ? packet_set_ring+0xb60/0x1b20 [ 1351.235572] packet_set_ring+0xb60/0x1b20 [ 1351.236123] packet_setsockopt+0x1b4f/0x3c40 [ 1351.236698] ? lock_release+0x3b2/0x6f0 [ 1351.237207] ? __fget_files+0x26b/0x470 [ 1351.237710] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1351.238399] ? packet_bind+0x1b0/0x1b0 [ 1351.238924] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1351.239612] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1351.240312] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1351.240986] ? selinux_socket_setsockopt+0x6a/0x80 [ 1351.241607] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1351.242300] __sys_setsockopt+0x180/0x2a0 [ 1351.242837] ? packet_bind+0x1b0/0x1b0 [ 1351.243354] ? __ia32_sys_recv+0x100/0x100 [ 1351.243922] __x64_sys_setsockopt+0xba/0x150 [ 1351.244492] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1351.245150] do_syscall_64+0x3b/0x90 [ 1351.245630] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1351.246286] RIP: 0033:0x7f8783846b19 [ 1351.246752] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1351.248981] RSP: 002b:00007f8780dbc188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1351.249975] RAX: ffffffffffffffda RBX: 00007f8783959f60 RCX: 00007f8783846b19 [ 1351.250853] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000003 [ 1351.251745] RBP: 00007f87838a0f6d R08: 0000000000000010 R09: 0000000000000000 [ 1351.252657] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1351.253556] R13: 00007fffd8ae1b1f R14: 00007f8780dbc300 R15: 0000000000022000 [ 1351.254483] [ 1351.254955] Mem-Info: [ 1351.255325] active_anon:4542 inactive_anon:36909 isolated_anon:0 [ 1351.255325] active_file:96 inactive_file:235 isolated_file:0 [ 1351.255325] unevictable:0 dirty:3 writeback:0 [ 1351.255325] slab_reclaimable:7224 slab_unreclaimable:62847 [ 1351.255325] mapped:69810 shmem:122 pagetables:3252 bounce:0 [ 1351.255325] kernel_misc_reclaimable:0 [ 1351.255325] free:3477 free_pcp:288 free_cma:0 [ 1351.260060] Node 0 active_anon:18168kB inactive_anon:147636kB active_file:384kB inactive_file:844kB unevictable:0kB isolated(anon):0kB isolated(file):0kB mapped:279324kB dirty:12kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6432kB pagetables:13008kB all_unreclaimable? no [ 1351.263426] Node 0 DMA free:6524kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:16kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1351.266811] lowmem_reserve[]: 0 1617 1617 1617 [ 1351.267517] Node 0 DMA32 free:7384kB boost:0kB min:5120kB low:6776kB high:8432kB reserved_highatomic:2048KB active_anon:18168kB inactive_anon:147636kB active_file:512kB inactive_file:504kB unevictable:0kB writepending:12kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1168kB local_pcp:840kB free_cma:0kB [ 1351.271203] lowmem_reserve[]: 0 0 0 0 [ 1351.271784] Node 0 DMA: 1*4kB (U) 1*8kB (U) 1*16kB (M) 3*32kB (UM) 2*64kB (UM) 1*128kB (M) 2*256kB (UM) 1*512kB (M) 1*1024kB (M) 0*2048kB 1*4096kB (M) = 6524kB [ 1351.274077] Node 0 DMA32: 340*4kB (UMEH) 152*8kB (UMEH) 71*16kB (UMEH) 37*32kB (UMEH) 1*64kB (U) 1*128kB (H) 1*256kB (H) 1*512kB (H) 1*1024kB (H) 0*2048kB 0*4096kB = 6880kB [ 1351.276235] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1351.277447] 538 total pagecache pages [ 1351.278053] 0 pages in swap cache [ 1351.278725] Swap cache stats: add 0, delete 0, find 0/0 [ 1351.279443] Free swap = 0kB [ 1351.279909] Total swap = 0kB [ 1351.280467] 524158 pages RAM [ 1351.280873] 0 pages HighMem/MovableOnly [ 1351.281419] 105117 pages reserved 10:18:53 executing program 6: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:53 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, 0x0, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:18:53 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 12) 10:18:53 executing program 3: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x0, @in=@dev}]}]}, 0xfc}}, 0x0) 10:18:53 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x0, 0x773ca00}, 0x10) 10:18:53 executing program 7: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:53 executing program 2: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) [ 1366.878694] FAULT_INJECTION: forcing a failure. [ 1366.878694] name failslab, interval 1, probability 0, space 0, times 0 [ 1366.880700] CPU: 0 PID: 9378 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1366.881736] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1366.883146] Call Trace: [ 1366.883495] [ 1366.883792] dump_stack_lvl+0x8b/0xb3 [ 1366.884319] should_fail.cold+0x5/0xa [ 1366.884830] should_failslab+0x5/0x10 [ 1366.885338] __kmalloc_node_track_caller+0x7e/0x440 [ 1366.885994] ? netlink_ack+0x1f0/0xa60 [ 1366.886527] __alloc_skb+0xe3/0x340 [ 1366.887028] netlink_ack+0x1f0/0xa60 [ 1366.887544] ? netlink_sendmsg+0xdf0/0xdf0 [ 1366.888116] ? __mutex_lock+0x215/0x1480 [ 1366.888670] netlink_rcv_skb+0x348/0x430 [ 1366.889205] ? copy_to_user_tmpl.part.0+0x610/0x610 [ 1366.889863] ? netlink_ack+0xa60/0xa60 [ 1366.890385] ? lock_release+0x3b2/0x6f0 [ 1366.890922] ? netlink_deliver_tap+0x1b2/0xc30 [ 1366.891559] ? __sanitizer_cov_trace_cmp8+0x1d/0x70 [ 1366.892223] xfrm_netlink_rcv+0x6b/0x90 [ 1366.892749] netlink_unicast+0x540/0x7f0 [ 1366.893299] ? netlink_attachskb+0x880/0x880 [ 1366.893886] ? __virt_addr_valid+0xe9/0x310 [ 1366.894473] netlink_sendmsg+0x904/0xdf0 [ 1366.895043] ? netlink_unicast+0x7f0/0x7f0 [ 1366.895724] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1366.896420] ? netlink_unicast+0x7f0/0x7f0 [ 1366.896962] sock_sendmsg+0x150/0x190 [ 1366.897463] ____sys_sendmsg+0x709/0x870 [ 1366.897996] ? kernel_sendmsg+0x50/0x50 [ 1366.898507] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1366.899094] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1366.899783] ? lock_release+0x3b2/0x6f0 [ 1366.900297] ? __might_fault+0xd1/0x170 [ 1366.900825] ___sys_sendmsg+0xf3/0x170 [ 1366.901339] ? sendmsg_copy_msghdr+0x160/0x160 [ 1366.901939] ? lock_release+0x3b2/0x6f0 [ 1366.902448] ? lock_downgrade+0x6d0/0x6d0 [ 1366.902985] ? lock_release+0x3b2/0x6f0 [ 1366.903508] ? ksys_write+0x212/0x250 [ 1366.904005] ? lock_downgrade+0x6d0/0x6d0 [ 1366.904552] ? __fget_files+0x28d/0x470 [ 1366.905075] ? __fget_light+0xea/0x280 [ 1366.905583] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1366.906285] __sys_sendmsg+0xe5/0x1b0 [ 1366.906784] ? __sys_sendmsg_sock+0x30/0x30 [ 1366.907372] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1366.908095] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1366.908795] do_syscall_64+0x3b/0x90 [ 1366.909300] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1366.909981] RIP: 0033:0x7f21f360ab19 [ 1366.910468] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1366.912786] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1366.913764] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1366.914694] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1366.915614] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1366.916526] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1366.917443] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1366.918377] 10:18:53 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a08020000010000010100220017010000", 0x28, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:18:53 executing program 6: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:53 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, 0x0, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:18:53 executing program 7: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:53 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x0, 0x773ca00}, 0x10) [ 1367.037346] loop4: detected capacity change from 0 to 2232 10:18:54 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:18:54 executing program 3: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={0x0}}, 0x0) 10:18:54 executing program 7: socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:18:54 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, 0x0, 0x1, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:18:54 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 13) 10:18:54 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1367.218634] FAULT_INJECTION: forcing a failure. [ 1367.218634] name failslab, interval 1, probability 0, space 0, times 0 [ 1367.220037] CPU: 0 PID: 9404 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1367.220917] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1367.222148] Call Trace: [ 1367.222440] [ 1367.222699] dump_stack_lvl+0x8b/0xb3 [ 1367.223173] should_fail.cold+0x5/0xa [ 1367.223617] ? create_object.isra.0+0x3a/0xa20 [ 1367.224151] should_failslab+0x5/0x10 [ 1367.224584] kmem_cache_alloc+0x5b/0x480 [ 1367.225045] create_object.isra.0+0x3a/0xa20 [ 1367.225552] ? kasan_unpoison+0x23/0x50 [ 1367.226014] __kmalloc_node_track_caller+0x269/0x440 [ 1367.226573] ? netlink_ack+0x1f0/0xa60 [ 1367.227026] __alloc_skb+0xe3/0x340 [ 1367.227472] netlink_ack+0x1f0/0xa60 [ 1367.227906] ? netlink_sendmsg+0xdf0/0xdf0 [ 1367.228392] ? __mutex_lock+0x215/0x1480 [ 1367.228860] netlink_rcv_skb+0x348/0x430 [ 1367.229323] ? copy_to_user_tmpl.part.0+0x610/0x610 [ 1367.229881] ? netlink_ack+0xa60/0xa60 [ 1367.230333] ? lock_release+0x3b2/0x6f0 [ 1367.230790] ? netlink_deliver_tap+0x1b2/0xc30 [ 1367.231315] ? __sanitizer_cov_trace_cmp8+0x1d/0x70 [ 1367.231876] xfrm_netlink_rcv+0x6b/0x90 [ 1367.232321] netlink_unicast+0x540/0x7f0 [ 1367.232798] ? netlink_attachskb+0x880/0x880 [ 1367.233300] ? __virt_addr_valid+0xe9/0x310 [ 1367.233794] netlink_sendmsg+0x904/0xdf0 [ 1367.234267] ? netlink_unicast+0x7f0/0x7f0 [ 1367.234760] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1367.235388] ? netlink_unicast+0x7f0/0x7f0 [ 1367.235868] sock_sendmsg+0x150/0x190 [ 1367.236309] ____sys_sendmsg+0x709/0x870 [ 1367.236771] ? kernel_sendmsg+0x50/0x50 [ 1367.237215] ? __ia32_sys_recvmmsg+0x260/0x260 [ 1367.237737] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1367.238329] ? lock_release+0x3b2/0x6f0 [ 1367.238770] ? __might_fault+0xd1/0x170 [ 1367.239255] ___sys_sendmsg+0xf3/0x170 [ 1367.239702] ? sendmsg_copy_msghdr+0x160/0x160 [ 1367.240232] ? lock_release+0x3b2/0x6f0 [ 1367.240674] ? lock_downgrade+0x6d0/0x6d0 [ 1367.241134] ? lock_release+0x3b2/0x6f0 [ 1367.241584] ? ksys_write+0x212/0x250 [ 1367.242022] ? lock_downgrade+0x6d0/0x6d0 [ 1367.242485] ? __fget_files+0x28d/0x470 [ 1367.242935] ? __fget_light+0xea/0x280 [ 1367.243372] ? __sanitizer_cov_trace_const_cmp8+0x1d/0x70 [ 1367.243987] __sys_sendmsg+0xe5/0x1b0 [ 1367.244420] ? __sys_sendmsg_sock+0x30/0x30 [ 1367.244912] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1367.245529] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1367.246148] do_syscall_64+0x3b/0x90 [ 1367.246570] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1367.247174] RIP: 0033:0x7f21f360ab19 [ 1367.247582] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1367.249552] RSP: 002b:00007f21f0b80188 EFLAGS: 00000246 ORIG_RAX: 000000000000002e [ 1367.250370] RAX: ffffffffffffffda RBX: 00007f21f371df60 RCX: 00007f21f360ab19 [ 1367.251159] RDX: 0000000000000000 RSI: 0000000020000180 RDI: 0000000000000003 [ 1367.251937] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1367.252704] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1367.253471] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1367.254265] [ 1367.269742] loop4: detected capacity change from 0 to 2232 [ 1367.283725] isofs_fill_super: get root inode failed [ 1367.829128] syz-executor.2: vmalloc error: size 907825152, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz2,mems_allowed=0 [ 1367.831259] CPU: 1 PID: 9385 Comm: syz-executor.2 Not tainted 5.16.0-next-20220120 #1 [ 1367.832344] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1367.833965] Call Trace: [ 1367.834308] [ 1367.834603] dump_stack_lvl+0x8b/0xb3 [ 1367.835127] warn_alloc.cold+0x95/0x18a [ 1367.835776] ? zone_watermark_ok_safe+0x240/0x240 [ 1367.836405] ? __schedule+0x88a/0x2190 [ 1367.837070] ? io_schedule_timeout+0x180/0x180 [ 1367.837672] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1367.838406] __vmalloc_node_range+0xe7a/0x10b0 [ 1367.839016] ? __vmalloc_node_no_huge+0x110/0x110 [ 1367.839795] ? lock_is_held_type+0xd7/0x130 [ 1367.840357] ? packet_set_ring+0xb60/0x1b20 [ 1367.840933] __vmalloc_node+0xb5/0x100 [ 1367.841434] ? packet_set_ring+0xb60/0x1b20 [ 1367.842022] packet_set_ring+0xb60/0x1b20 [ 1367.842590] packet_setsockopt+0x1b4f/0x3c40 [ 1367.843218] ? lock_release+0x3b2/0x6f0 [ 1367.843736] ? __fget_files+0x26b/0x470 [ 1367.844256] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1367.844962] ? packet_bind+0x1b0/0x1b0 [ 1367.845486] ? finish_task_switch.isra.0+0x221/0x870 [ 1367.846143] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1367.846860] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1367.847574] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1367.848271] ? selinux_socket_setsockopt+0x6a/0x80 [ 1367.848917] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1367.849629] __sys_setsockopt+0x180/0x2a0 [ 1367.850193] ? packet_bind+0x1b0/0x1b0 [ 1367.850864] ? __ia32_sys_recv+0x100/0x100 [ 1367.851460] __x64_sys_setsockopt+0xba/0x150 [ 1367.852179] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1367.852960] do_syscall_64+0x3b/0x90 [ 1367.853553] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1367.854276] RIP: 0033:0x7f8783846b19 [ 1367.854862] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1367.857449] RSP: 002b:00007f8780dbc188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1367.858523] RAX: ffffffffffffffda RBX: 00007f8783959f60 RCX: 00007f8783846b19 [ 1367.859511] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000003 [ 1367.860537] RBP: 00007f87838a0f6d R08: 0000000000000010 R09: 0000000000000000 [ 1367.861455] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1367.862373] R13: 00007fffd8ae1b1f R14: 00007f8780dbc300 R15: 0000000000022000 [ 1367.863340] [ 1367.863758] Mem-Info: [ 1367.864094] active_anon:4542 inactive_anon:36631 isolated_anon:0 [ 1367.864094] active_file:126 inactive_file:499 isolated_file:0 [ 1367.864094] unevictable:0 dirty:12 writeback:1 [ 1367.864094] slab_reclaimable:7241 slab_unreclaimable:62583 [ 1367.864094] mapped:70013 shmem:122 pagetables:3218 bounce:0 [ 1367.864094] kernel_misc_reclaimable:0 [ 1367.864094] free:3365 free_pcp:217 free_cma:0 [ 1367.868776] Node 0 active_anon:18168kB inactive_anon:146524kB active_file:672kB inactive_file:1584kB unevictable:0kB isolated(anon):0kB isolated(file):0kB mapped:280052kB dirty:48kB writeback:4kB shmem:488kB writeback_tmp:0kB kernel_stack:6368kB pagetables:12872kB all_unreclaimable? no [ 1367.871973] Node 0 DMA free:6512kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:12kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1367.875247] lowmem_reserve[]: 0 1617 1617 1617 [ 1367.875881] Node 0 DMA32 free:6948kB boost:0kB min:5120kB low:6776kB high:8432kB reserved_highatomic:2048KB active_anon:18168kB inactive_anon:146524kB active_file:820kB inactive_file:1348kB unevictable:0kB writepending:52kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:1300kB local_pcp:356kB free_cma:0kB [ 1367.879511] lowmem_reserve[]: 0 0 0 0 [ 1367.880045] Node 0 DMA: 2*4kB (U) 1*8kB (U) 2*16kB (UM) 2*32kB (UM) 2*64kB (UM) 1*128kB (M) 2*256kB (UM) 1*512kB (M) 1*1024kB (M) 0*2048kB 1*4096kB (M) = 6512kB [ 1367.882105] Node 0 DMA32: 81*4kB (UMEH) 178*8kB (UMEH) 83*16kB (UMEH) 53*32kB (UMEH) 2*64kB (U) 2*128kB (UH) 1*256kB (H) 1*512kB (H) 1*1024kB (H) 0*2048kB 0*4096kB = 6948kB [ 1367.884361] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1367.885477] 575 total pagecache pages [ 1367.885973] 0 pages in swap cache [ 1367.886453] Swap cache stats: add 0, delete 0, find 0/0 [ 1367.887143] Free swap = 0kB [ 1367.887594] Total swap = 0kB [ 1367.887995] 524158 pages RAM [ 1367.888434] 0 pages HighMem/MovableOnly [ 1367.888944] 105117 pages reserved [ 1383.615450] FAULT_INJECTION: forcing a failure. [ 1383.615450] name fail_usercopy, interval 1, probability 0, space 0, times 0 [ 1383.616569] CPU: 0 PID: 9415 Comm: syz-executor.5 Not tainted 5.16.0-next-20220120 #1 [ 1383.617098] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1383.617858] Call Trace: 10:19:10 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:19:10 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) (fail_nth: 14) [ 1383.618037] [ 1383.618348] dump_stack_lvl+0x8b/0xb3 [ 1383.618628] should_fail.cold+0x5/0xa [ 1383.618913] _copy_to_user+0x2e/0x150 [ 1383.619201] simple_read_from_buffer+0xcc/0x160 [ 1383.619572] proc_fail_nth_read+0x194/0x220 [ 1383.619973] ? proc_fault_inject_read+0x230/0x230 [ 1383.620377] ? security_file_permission+0xb1/0xd0 [ 1383.620746] ? proc_fault_inject_read+0x230/0x230 [ 1383.621102] vfs_read+0x1b6/0x5f0 [ 1383.621370] ksys_read+0x12d/0x250 [ 1383.621633] ? __ia32_sys_pwrite64+0x230/0x230 [ 1383.621973] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1383.622364] do_syscall_64+0x3b/0x90 [ 1383.622647] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1383.623018] RIP: 0033:0x7f21f35bd69c [ 1383.623281] Code: ec 28 48 89 54 24 18 48 89 74 24 10 89 7c 24 08 e8 f9 fc ff ff 48 8b 54 24 18 48 8b 74 24 10 41 89 c0 8b 7c 24 08 31 c0 0f 05 <48> 3d 00 f0 ff ff 77 34 44 89 c7 48 89 44 24 08 e8 2f fd ff ff 48 [ 1383.624578] RSP: 002b:00007f21f0b80170 EFLAGS: 00000246 ORIG_RAX: 0000000000000000 [ 1383.625122] RAX: ffffffffffffffda RBX: 00000000000000fc RCX: 00007f21f35bd69c [ 1383.625641] RDX: 000000000000000f RSI: 00007f21f0b801e0 RDI: 0000000000000004 [ 1383.626148] RBP: 00007f21f0b801d0 R08: 0000000000000000 R09: 0000000000000000 [ 1383.626660] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000002 [ 1383.627173] R13: 00007ffd0a84026f R14: 00007f21f0b80300 R15: 0000000000022000 [ 1383.627697] 10:19:10 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x0, 0x773ca00}, 0x10) 10:19:10 executing program 7: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:19:10 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x0, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:19:10 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) 10:19:10 executing program 3: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a08020000010000010100220017010000", 0x28, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:19:10 executing program 2: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:19:10 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x0, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:19:10 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400}, 0x10) 10:19:10 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:19:10 executing program 7: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:19:10 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:19:10 executing program 3: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a08020000010000010100220017010000", 0x28, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:19:10 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) [ 1383.915063] loop4: detected capacity change from 0 to 2232 [ 1383.939950] isofs_fill_super: get root inode failed [ 1384.919020] systemd-udevd invoked oom-killer: gfp_mask=0x1140cca(GFP_HIGHUSER_MOVABLE|__GFP_COMP), order=0, oom_score_adj=-1000 [ 1384.920819] CPU: 0 PID: 126 Comm: systemd-udevd Not tainted 5.16.0-next-20220120 #1 [ 1384.921807] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1384.923179] Call Trace: [ 1384.923523] [ 1384.923815] dump_stack_lvl+0x8b/0xb3 [ 1384.924317] dump_header+0x106/0x60f [ 1384.924804] oom_kill_process.cold+0x10/0x15 [ 1384.925375] out_of_memory+0x358/0x14b0 [ 1384.925907] ? oom_killer_disable+0x270/0x270 [ 1384.926504] __alloc_pages_slowpath.constprop.0+0x1972/0x1f10 [ 1384.927285] ? warn_alloc+0x180/0x180 [ 1384.927810] ? lock_is_held_type+0xd7/0x130 [ 1384.928379] ? prepare_alloc_pages+0x371/0x500 [ 1384.929006] __alloc_pages+0x403/0x4e0 [ 1384.929520] ? __alloc_pages_slowpath.constprop.0+0x1f10/0x1f10 [ 1384.930318] ? lock_is_held_type+0xd7/0x130 [ 1384.930883] ? __filemap_get_folio+0x2d1/0xfd0 [ 1384.931499] ? lock_downgrade+0x6d0/0x6d0 [ 1384.932028] ? lock_is_held_type+0xd7/0x130 [ 1384.932599] alloc_pages+0x1a0/0x2f0 [ 1384.933110] __filemap_get_folio+0x65c/0xfd0 [ 1384.933703] ? filemap_read+0xbb0/0xbb0 [ 1384.934271] filemap_fault+0x155a/0x2130 [ 1384.934818] ? __filemap_get_folio+0xfd0/0xfd0 [ 1384.935481] __do_fault+0x113/0x5a0 [ 1384.935990] __handle_mm_fault+0x1325/0x3570 [ 1384.936587] ? lock_is_held_type+0xd7/0x130 [ 1384.937169] ? __pmd_alloc+0x680/0x680 [ 1384.937720] handle_mm_fault+0x2e6/0xa20 [ 1384.938268] do_user_addr_fault+0x54a/0x12a0 [ 1384.938852] exc_page_fault+0xa2/0x1a0 [ 1384.939380] ? asm_exc_page_fault+0x8/0x30 [ 1384.939942] asm_exc_page_fault+0x1e/0x30 [ 1384.940505] RIP: 0033:0x7fecf7ff8ec0 [ 1384.940993] Code: Unable to access opcode bytes at RIP 0x7fecf7ff8e96. [ 1384.941834] RSP: 002b:00007ffcd9bcc1a8 EFLAGS: 00010246 [ 1384.942499] RAX: 0000000000000000 RBX: 0000000000000001 RCX: 0000000073657661 [ 1384.943423] RDX: 0000000000000001 RSI: 00007fecf82098f8 RDI: 00007fecf92887a0 [ 1384.944308] RBP: 00007fecf82098f8 R08: 00007fecf92887a0 R09: 0000000000000011 [ 1384.945232] R10: 0000000000000000 R11: 0000000000000246 R12: 00007fecf92887a0 [ 1384.946113] R13: 00007fecf82098f8 R14: 0000000000000007 R15: 00007fecf92887a1 [ 1384.947056] [ 1384.947481] Mem-Info: [ 1384.947814] active_anon:4542 inactive_anon:36648 isolated_anon:0 [ 1384.947814] active_file:37 inactive_file:11 isolated_file:0 [ 1384.947814] unevictable:0 dirty:0 writeback:0 [ 1384.947814] slab_reclaimable:7175 slab_unreclaimable:62410 [ 1384.947814] mapped:69646 shmem:122 pagetables:3222 bounce:0 [ 1384.947814] kernel_misc_reclaimable:0 [ 1384.947814] free:3401 free_pcp:102 free_cma:0 [ 1384.952232] Node 0 active_anon:18168kB inactive_anon:146592kB active_file:148kB inactive_file:44kB unevictable:0kB isolated(anon):0kB isolated(file):0kB mapped:278584kB dirty:0kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6400kB pagetables:12888kB all_unreclaimable? yes [ 1384.955352] Node 0 DMA free:6512kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1384.958449] lowmem_reserve[]: 0 1617 1617 1617 [ 1384.959083] Node 0 DMA32 free:7092kB boost:0kB min:5120kB low:6776kB high:8432kB reserved_highatomic:4096KB active_anon:18168kB inactive_anon:146592kB active_file:368kB inactive_file:0kB unevictable:0kB writepending:0kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:408kB local_pcp:408kB free_cma:0kB [ 1384.962688] lowmem_reserve[]: 0 0 0 0 [ 1384.963233] Node 0 DMA: 0*4kB 0*8kB 1*16kB (U) 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6512kB [ 1384.965128] Node 0 DMA32: 568*4kB (UMEH) 178*8kB (MEH) 80*16kB (MEH) 44*32kB (UMEH) 2*64kB (U) 0*128kB 1*256kB (H) 1*512kB (H) 0*1024kB 0*2048kB 0*4096kB = 7280kB [ 1384.967190] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1384.968283] 192 total pagecache pages [ 1384.968776] 0 pages in swap cache [ 1384.969255] Swap cache stats: add 0, delete 0, find 0/0 [ 1384.969941] Free swap = 0kB [ 1384.970359] Total swap = 0kB [ 1384.970752] 524158 pages RAM [ 1384.971134] 0 pages HighMem/MovableOnly [ 1384.971663] 105117 pages reserved [ 1384.972098] Unreclaimable slab info: [ 1384.972889] Name Used Total [ 1384.973652] pid_5 7KB 7KB [ 1384.974352] pid_4 15KB 15KB [ 1384.975018] pid_3 7KB 7KB [ 1384.975730] pid_2 72KB 78KB [ 1384.976438] IEEE-802.15.4-MAC 63KB 63KB [ 1384.977183] IEEE-802.15.4-RAW 63KB 63KB [ 1384.977865] p9_req_t 8KB 8KB [ 1384.978590] fib6_nodes 28KB 28KB [ 1384.979331] ip6_dst_cache 37KB 37KB [ 1384.980028] PINGv6 63KB 63KB [ 1384.980740] RAWv6 220KB 220KB [ 1384.981429] UDPLITEv6 62KB 62KB [ 1384.982123] UDPv6 62KB 62KB [ 1384.982837] tw_sock_TCPv6 15KB 15KB [ 1384.983592] TCPv6 62KB 62KB [ 1384.984325] scsi_sense_cache 8KB 8KB [ 1384.985016] sd_ext_cdb 3KB 3KB [ 1384.985740] virtio_scsi_cmd 16KB 16KB [ 1384.986461] bio-96 7KB 7KB [ 1384.987184] sgpool-128 157KB 357KB [ 1384.987879] sgpool-64 63KB 63KB [ 1384.988588] sgpool-32 157KB 157KB [ 1384.989303] sgpool-16 75KB 75KB [ 1384.989999] sgpool-8 64KB 67KB [ 1384.990711] bio-224 7KB 7KB [ 1384.991461] mqueue_inode_cache 60KB 60KB [ 1384.992190] nfs_commit_data 15KB 15KB [ 1384.992890] nfs_write_data 47KB 47KB [ 1384.993617] jbd2_inode 7KB 7KB [ 1384.995512] ext4_system_zone 7KB 7KB [ 1384.996419] ext4_io_end_vec 15KB 15KB [ 1384.997112] ext4_bio_post_read_ctx 15KB 15KB [ 1384.997891] io_kiocb 41KB 41KB [ 1384.998611] kioctx 31KB 31KB [ 1384.999330] aio_kiocb 7KB 7KB [ 1385.000032] dnotify_mark 7KB 7KB [ 1385.000756] dnotify_struct 7KB 7KB [ 1385.001487] dio 30KB 30KB [ 1385.002202] fasync_cache 7KB 7KB [ 1385.002897] pid_namespace 11KB 11KB [ 1385.003619] posix_timers_cache 7KB 7KB [ 1385.004351] rpc_buffers 31KB 31KB [ 1385.005042] rpc_tasks 3KB 3KB [ 1385.005766] UNIX-STREAM 207KB 372KB [ 1385.006486] UNIX 131KB 279KB [ 1385.007205] UDP-Lite 63KB 63KB [ 1385.007911] tcp_bind_bucket 8KB 8KB [ 1385.008629] inet_peer_cache 4KB 4KB [ 1385.009338] ip_fib_trie 8KB 8KB [ 1385.010026] ip_fib_alias 11KB 11KB [ 1385.010742] ip_dst_cache 32KB 32KB [ 1385.011465] RAW 125KB 125KB [ 1385.012172] UDP 220KB 220KB [ 1385.012869] request_sock_TCP 15KB 15KB [ 1385.013607] TCP 58KB 58KB [ 1385.014328] hugetlbfs_inode_cache 31KB 31KB [ 1385.015053] bio-216 11KB 11KB [ 1385.015786] ep_head 8KB 8KB [ 1385.016508] eventpoll_pwq 19KB 19KB [ 1385.017227] eventpoll_epi 35KB 35KB [ 1385.017926] inotify_inode_mark 47KB 50KB [ 1385.018654] request_queue 62KB 62KB [ 1385.019382] blkdev_ioc 8KB 8KB [ 1385.020097] bio-160 48KB 48KB [ 1385.020812] biovec-max 595KB 595KB [ 1385.021526] biovec-128 31KB 31KB [ 1385.022242] biovec-64 299KB 299KB [ 1385.022934] biovec-16 41KB 41KB [ 1385.023659] user_namespace 30KB 30KB [ 1385.024380] uid_cache 7KB 7KB [ 1385.025064] dmaengine-unmap-2 4KB 4KB [ 1385.025783] audit_buffer 7KB 7KB [ 1385.026495] skbuff_fclone_cache 112KB 112KB [ 1385.027240] skbuff_head_cache 1455KB 1518KB [ 1385.027932] configfs_dir_cache 4KB 4KB [ 1385.028662] file_lock_cache 39KB 39KB [ 1385.029377] file_lock_ctx 7KB 7KB [ 1385.030069] fsnotify_mark_connector 20KB 20KB [ 1385.030845] net_namespace 124KB 124KB [ 1385.031587] taskstats 37KB 37KB [ 1385.032311] proc_dir_entry 457KB 468KB [ 1385.033001] pde_opener 27KB 27KB [ 1385.033716] seq_file 41KB 41KB [ 1385.034441] sigqueue 35KB 35KB [ 1385.035141] shmem_inode_cache 1497KB 1591KB [ 1385.035876] kernfs_iattrs_cache 250KB 250KB [ 1385.036623] kernfs_node_cache 5394KB 5423KB [ 1385.037349] mnt_cache 238KB 259KB [ 1385.038050] filp 1536KB 1650KB [ 1385.038766] names_cache 10846KB 11007KB [ 1385.039502] hashtab_node 274KB 274KB [ 1385.040217] ebitmap_node 1149KB 1149KB [ 1385.040903] avtab_node 4976KB 4976KB [ 1385.041616] avc_node 35KB 35KB [ 1385.042359] lsm_inode_cache 2645KB 3055KB [ 1385.043053] lsm_file_cache 76KB 128KB [ 1385.043781] key_jar 39KB 39KB [ 1385.044505] uts_namespace 15KB 15KB [ 1385.045225] nsproxy 15KB 15KB [ 1385.045923] vm_area_struct 1606KB 1632KB [ 1385.046648] mm_struct 308KB 378KB [ 1385.047364] fs_cache 52KB 52KB [ 1385.048075] files_cache 166KB 175KB [ 1385.048793] signal_cache 398KB 425KB [ 1385.049508] sighand_cache 494KB 511KB [ 1385.050225] task_struct 1658KB 1845KB [ 1385.050914] cred_jar 141KB 180KB [ 1385.051654] anon_vma_chain 393KB 405KB [ 1385.052385] anon_vma 437KB 456KB [ 1385.053085] pid 57KB 78KB [ 1385.053809] Acpi-Operand 84KB 142KB [ 1385.054523] Acpi-ParseExt 35KB 35KB [ 1385.055239] Acpi-Parse 35KB 51KB [ 1385.055950] Acpi-State 43KB 59KB [ 1385.056661] Acpi-Namespace 28KB 28KB [ 1385.057384] shared_policy_node 4KB 4KB [ 1385.058079] numa_policy 7KB 7KB [ 1385.058801] perf_event 63KB 63KB [ 1385.059530] trace_event_file 175KB 175KB [ 1385.060251] ftrace_event_field 296KB 296KB [ 1385.060958] pool_workqueue 40KB 40KB [ 1385.061676] task_group 16KB 16KB [ 1385.062395] vmap_area 40KB 78KB [ 1385.063085] page->ptl 357KB 381KB [ 1385.063803] kmemleak_scan_area 28KB 75KB [ 1385.065087] kmemleak_object 139862KB 149558KB [ 1385.065822] kmalloc-cg-8k 96KB 96KB [ 1385.066544] kmalloc-cg-4k 896KB 896KB [ 1385.067267] kmalloc-cg-2k 968KB 1088KB [ 1385.067980] kmalloc-cg-1k 252KB 288KB [ 1385.068702] kmalloc-cg-512 288KB 288KB [ 1385.069423] kmalloc-cg-256 16KB 16KB [ 1385.070116] kmalloc-cg-192 32KB 32KB [ 1385.070836] kmalloc-cg-128 12KB 12KB [ 1385.071558] kmalloc-cg-96 44KB 44KB [ 1385.072277] kmalloc-cg-64 28KB 28KB [ 1385.072957] kmalloc-cg-32 49KB 64KB [ 1385.073668] kmalloc-cg-16 12KB 12KB [ 1385.074379] kmalloc-cg-8 19KB 19KB [ 1385.075073] kmalloc-8k 4912KB 5088KB [ 1385.075800] kmalloc-4k 6464KB 6592KB [ 1385.076532] kmalloc-2k 4484KB 4768KB [ 1385.077256] kmalloc-1k 9792KB 9792KB [ 1385.077943] kmalloc-512 6146KB 6400KB [ 1385.078664] kmalloc-256 1071KB 1136KB [ 1385.079413] kmalloc-192 352KB 564KB [ 1385.080136] kmalloc-128 446KB 616KB [ 1385.080863] kmalloc-96 530KB 580KB [ 1385.081585] kmalloc-64 1428KB 1428KB [ 1385.082306] kmalloc-32 707KB 748KB [ 1385.082999] kmalloc-16 321KB 348KB [ 1385.083736] kmalloc-8 268KB 274KB [ 1385.084455] kmem_cache_node 51KB 51KB [ 1385.085142] kmem_cache 82KB 82KB [ 1385.085858] oom-kill:constraint=CONSTRAINT_NONE,nodemask=(null),cpuset=/,mems_allowed=0,global_oom,task_memcg=/syz3,task=syz-executor.3,pid=8194,uid=0 [ 1385.087953] BUG: sleeping function called from invalid context at kernel/locking/mutex.c:577 [ 1385.089323] in_atomic(): 1, irqs_disabled(): 0, non_block: 0, pid: 126, name: systemd-udevd [ 1385.090371] preempt_count: 1, expected: 0 [ 1385.090886] RCU nest depth: 0, expected: 0 [ 1385.091458] 3 locks held by systemd-udevd/126: [ 1385.092031] #0: ffff888015afb690 (mapping.invalidate_lock){++++}-{3:3}, at: filemap_fault+0x151a/0x2130 [ 1385.093272] #1: ffffffff85278aa8 (oom_lock){+.+.}-{3:3}, at: __alloc_pages_slowpath.constprop.0+0xcc9/0x1f10 [ 1385.094552] #2: ffff88801fdabe20 (&p->alloc_lock){+.+.}-{2:2}, at: find_lock_task_mm+0xe3/0x2b0 [ 1385.095729] Preemption disabled at: [ 1385.095738] [<0000000000000000>] 0x0 [ 1385.096687] CPU: 0 PID: 126 Comm: systemd-udevd Not tainted 5.16.0-next-20220120 #1 [ 1385.097638] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1385.099011] Call Trace: [ 1385.099340] [ 1385.099651] dump_stack_lvl+0x8b/0xb3 [ 1385.100146] __might_resched.cold+0x222/0x26b [ 1385.100722] __mutex_lock+0x9e/0x1480 [ 1385.101225] ? futex_exit_release+0x2d/0x220 [ 1385.101807] ? mutex_lock_io_nested+0x12c0/0x12c0 [ 1385.102414] ? find_held_lock+0x2c/0x110 [ 1385.102931] ? lock_release+0x3b2/0x6f0 [ 1385.103464] ? __oom_kill_process+0x33f/0xf90 [ 1385.104066] ? lock_downgrade+0x6d0/0x6d0 [ 1385.105247] ? mark_held_locks+0x9e/0xe0 [ 1385.106145] futex_exit_release+0x2d/0x220 [ 1385.106711] __oom_kill_process+0x373/0xf90 [ 1385.107301] ? mem_cgroup_get_oom_group+0x12f/0x420 [ 1385.107994] oom_kill_process+0xd7/0x5c0 [ 1385.108546] out_of_memory+0x358/0x14b0 [ 1385.109089] ? oom_killer_disable+0x270/0x270 [ 1385.109708] __alloc_pages_slowpath.constprop.0+0x1972/0x1f10 [ 1385.110505] ? warn_alloc+0x180/0x180 [ 1385.111015] ? lock_is_held_type+0xd7/0x130 [ 1385.111617] ? prepare_alloc_pages+0x371/0x500 [ 1385.112232] __alloc_pages+0x403/0x4e0 [ 1385.112752] ? __alloc_pages_slowpath.constprop.0+0x1f10/0x1f10 [ 1385.113530] ? lock_is_held_type+0xd7/0x130 [ 1385.114129] ? __filemap_get_folio+0x2d1/0xfd0 [ 1385.114737] ? lock_downgrade+0x6d0/0x6d0 [ 1385.115283] ? lock_is_held_type+0xd7/0x130 [ 1385.115876] alloc_pages+0x1a0/0x2f0 [ 1385.116392] __filemap_get_folio+0x65c/0xfd0 [ 1385.117001] ? filemap_read+0xbb0/0xbb0 [ 1385.117564] filemap_fault+0x155a/0x2130 [ 1385.118118] ? __filemap_get_folio+0xfd0/0xfd0 [ 1385.118757] __do_fault+0x113/0x5a0 [ 1385.119264] __handle_mm_fault+0x1325/0x3570 [ 1385.119866] ? lock_is_held_type+0xd7/0x130 [ 1385.120448] ? __pmd_alloc+0x680/0x680 [ 1385.120997] handle_mm_fault+0x2e6/0xa20 [ 1385.121545] do_user_addr_fault+0x54a/0x12a0 [ 1385.122155] exc_page_fault+0xa2/0x1a0 [ 1385.122686] ? asm_exc_page_fault+0x8/0x30 [ 1385.123263] asm_exc_page_fault+0x1e/0x30 [ 1385.123821] RIP: 0033:0x7fecf7ff8ec0 [ 1385.124316] Code: Unable to access opcode bytes at RIP 0x7fecf7ff8e96. [ 1385.125148] RSP: 002b:00007ffcd9bcc1a8 EFLAGS: 00010246 [ 1385.125813] RAX: 0000000000000000 RBX: 0000000000000001 RCX: 0000000073657661 [ 1385.126695] RDX: 0000000000000001 RSI: 00007fecf82098f8 RDI: 00007fecf92887a0 [ 1385.127588] RBP: 00007fecf82098f8 R08: 00007fecf92887a0 R09: 0000000000000011 [ 1385.128468] R10: 0000000000000000 R11: 0000000000000246 R12: 00007fecf92887a0 [ 1385.129346] R13: 00007fecf82098f8 R14: 0000000000000007 R15: 00007fecf92887a1 [ 1385.130259] [ 1385.130606] [ 1385.130827] ============================= [ 1385.131339] [ BUG: Invalid wait context ] [ 1385.131857] 5.16.0-next-20220120 #1 Tainted: G W [ 1385.132587] ----------------------------- [ 1385.133085] systemd-udevd/126 is trying to lock: [ 1385.133671] ffff88801fdac830 (&tsk->futex_exit_mutex){+.+.}-{3:3}, at: futex_exit_release+0x2d/0x220 [ 1385.134829] other info that might help us debug this: [ 1385.135464] context-{4:4} [ 1385.135812] 3 locks held by systemd-udevd/126: [ 1385.136380] #0: ffff888015afb690 (mapping.invalidate_lock){++++}-{3:3}, at: filemap_fault+0x151a/0x2130 [ 1385.137560] #1: ffffffff85278aa8 (oom_lock){+.+.}-{3:3}, at: __alloc_pages_slowpath.constprop.0+0xcc9/0x1f10 [ 1385.138796] #2: ffff88801fdabe20 (&p->alloc_lock){+.+.}-{2:2}, at: find_lock_task_mm+0xe3/0x2b0 [ 1385.139926] stack backtrace: [ 1385.140319] CPU: 0 PID: 126 Comm: systemd-udevd Tainted: G W 5.16.0-next-20220120 #1 [ 1385.141423] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1385.142793] Call Trace: [ 1385.143118] [ 1385.143415] dump_stack_lvl+0x8b/0xb3 [ 1385.143902] __lock_acquire.cold+0xc8/0x3b4 [ 1385.144439] ? swsusp_read.cold+0x2b/0x4a [ 1385.144956] ? lock_chain_count+0x20/0x20 [ 1385.145476] ? lockdep_hardirqs_on_prepare+0x400/0x400 [ 1385.146143] lock_acquire+0x1a2/0x4d0 [ 1385.146627] ? futex_exit_release+0x2d/0x220 [ 1385.147197] ? lock_release+0x6f0/0x6f0 [ 1385.147710] ? dump_stack_lvl+0xab/0xb3 [ 1385.148213] __mutex_lock+0x136/0x1480 [ 1385.148706] ? futex_exit_release+0x2d/0x220 [ 1385.149270] ? futex_exit_release+0x2d/0x220 [ 1385.149842] ? mutex_lock_io_nested+0x12c0/0x12c0 [ 1385.150446] ? find_held_lock+0x2c/0x110 [ 1385.150956] ? lock_release+0x3b2/0x6f0 [ 1385.151480] ? __oom_kill_process+0x33f/0xf90 [ 1385.152060] ? lock_downgrade+0x6d0/0x6d0 [ 1385.152586] ? mark_held_locks+0x9e/0xe0 [ 1385.153098] futex_exit_release+0x2d/0x220 [ 1385.153638] __oom_kill_process+0x373/0xf90 [ 1385.154184] ? mem_cgroup_get_oom_group+0x12f/0x420 [ 1385.154817] oom_kill_process+0xd7/0x5c0 [ 1385.155334] out_of_memory+0x358/0x14b0 [ 1385.155854] ? oom_killer_disable+0x270/0x270 [ 1385.156437] __alloc_pages_slowpath.constprop.0+0x1972/0x1f10 [ 1385.157170] ? warn_alloc+0x180/0x180 [ 1385.157652] ? lock_is_held_type+0xd7/0x130 [ 1385.158213] ? prepare_alloc_pages+0x371/0x500 [ 1385.158794] __alloc_pages+0x403/0x4e0 [ 1385.159289] ? __alloc_pages_slowpath.constprop.0+0x1f10/0x1f10 [ 1385.160059] ? lock_is_held_type+0xd7/0x130 [ 1385.160622] ? __filemap_get_folio+0x2d1/0xfd0 [ 1385.161199] ? lock_downgrade+0x6d0/0x6d0 [ 1385.161721] ? lock_is_held_type+0xd7/0x130 [ 1385.162270] alloc_pages+0x1a0/0x2f0 [ 1385.162747] __filemap_get_folio+0x65c/0xfd0 [ 1385.163309] ? filemap_read+0xbb0/0xbb0 [ 1385.163833] filemap_fault+0x155a/0x2130 [ 1385.164354] ? __filemap_get_folio+0xfd0/0xfd0 [ 1385.164938] __do_fault+0x113/0x5a0 [ 1385.165414] __handle_mm_fault+0x1325/0x3570 [ 1385.165977] ? lock_is_held_type+0xd7/0x130 [ 1385.166525] ? __pmd_alloc+0x680/0x680 [ 1385.167024] handle_mm_fault+0x2e6/0xa20 [ 1385.167550] do_user_addr_fault+0x54a/0x12a0 [ 1385.168112] exc_page_fault+0xa2/0x1a0 [ 1385.168612] ? asm_exc_page_fault+0x8/0x30 [ 1385.169151] asm_exc_page_fault+0x1e/0x30 [ 1385.169677] RIP: 0033:0x7fecf7ff8ec0 [ 1385.170146] Code: Unable to access opcode bytes at RIP 0x7fecf7ff8e96. [ 1385.170945] RSP: 002b:00007ffcd9bcc1a8 EFLAGS: 00010246 [ 1385.171606] RAX: 0000000000000000 RBX: 0000000000000001 RCX: 0000000073657661 [ 1385.172480] RDX: 0000000000000001 RSI: 00007fecf82098f8 RDI: 00007fecf92887a0 [ 1385.173351] RBP: 00007fecf82098f8 R08: 00007fecf92887a0 R09: 0000000000000011 [ 1385.174222] R10: 0000000000000000 R11: 0000000000000246 R12: 00007fecf92887a0 [ 1385.175102] R13: 00007fecf82098f8 R14: 0000000000000007 R15: 00007fecf92887a1 [ 1385.175992] [ 1385.176325] Out of memory: Killed process 8194 (syz-executor.3) total-vm:93808kB, anon-rss:572kB, file-rss:34820kB, shmem-rss:0kB, UID:0 pgtables:136kB oom_score_adj:1000 [ 1385.178610] oom_reaper: reaped process 8194 (syz-executor.3), now anon-rss:0kB, file-rss:34816kB, shmem-rss:0kB [ 1385.200379] systemd-udevd invoked oom-killer: gfp_mask=0x1140cca(GFP_HIGHUSER_MOVABLE|__GFP_COMP), order=0, oom_score_adj=-1000 [ 1385.201851] CPU: 0 PID: 126 Comm: systemd-udevd Tainted: G W 5.16.0-next-20220120 #1 [ 1385.202973] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1385.204369] Call Trace: [ 1385.204691] [ 1385.204978] dump_stack_lvl+0x8b/0xb3 [ 1385.205465] dump_header+0x106/0x60f [ 1385.205936] oom_kill_process.cold+0x10/0x15 [ 1385.206498] out_of_memory+0x358/0x14b0 [ 1385.207017] ? oom_killer_disable+0x270/0x270 [ 1385.207618] __alloc_pages_slowpath.constprop.0+0x1972/0x1f10 [ 1385.208353] ? warn_alloc+0x180/0x180 [ 1385.208845] ? lock_is_held_type+0xd7/0x130 [ 1385.209406] ? prepare_alloc_pages+0x371/0x500 [ 1385.209980] __alloc_pages+0x403/0x4e0 [ 1385.210474] ? __alloc_pages_slowpath.constprop.0+0x1f10/0x1f10 [ 1385.211225] ? lock_is_held_type+0xd7/0x130 [ 1385.211796] ? __filemap_get_folio+0x2d1/0xfd0 [ 1385.212374] ? lock_downgrade+0x6d0/0x6d0 [ 1385.212894] ? lock_is_held_type+0xd7/0x130 [ 1385.213442] alloc_pages+0x1a0/0x2f0 [ 1385.213929] __filemap_get_folio+0x65c/0xfd0 [ 1385.214491] ? filemap_read+0xbb0/0xbb0 [ 1385.215000] filemap_fault+0x155a/0x2130 [ 1385.215529] ? __filemap_get_folio+0xfd0/0xfd0 [ 1385.216111] __do_fault+0x113/0x5a0 [ 1385.216581] __handle_mm_fault+0x1325/0x3570 [ 1385.217134] ? lock_is_held_type+0xd7/0x130 [ 1385.217680] ? __pmd_alloc+0x680/0x680 [ 1385.218183] handle_mm_fault+0x2e6/0xa20 [ 1385.218702] do_user_addr_fault+0x54a/0x12a0 [ 1385.219263] exc_page_fault+0xa2/0x1a0 [ 1385.219780] ? asm_exc_page_fault+0x8/0x30 [ 1385.220316] asm_exc_page_fault+0x1e/0x30 [ 1385.220845] RIP: 0033:0x7fecf7ff8ec0 [ 1385.221311] Code: Unable to access opcode bytes at RIP 0x7fecf7ff8e96. [ 1385.222114] RSP: 002b:00007ffcd9bcc1a8 EFLAGS: 00010246 [ 1385.222767] RAX: 0000000000000000 RBX: 0000000000000001 RCX: 0000000073657661 [ 1385.223658] RDX: 0000000000000001 RSI: 00007fecf82098f8 RDI: 00007fecf92887a0 [ 1385.224533] RBP: 00007fecf82098f8 R08: 00007fecf92887a0 R09: 0000000000000011 [ 1385.225399] R10: 0000000000000000 R11: 0000000000000246 R12: 00007fecf92887a0 [ 1385.226303] R13: 00007fecf82098f8 R14: 0000000000000007 R15: 00007fecf92887a1 [ 1385.227179] [ 1385.227551] Mem-Info: [ 1385.227870] active_anon:4542 inactive_anon:36606 isolated_anon:0 [ 1385.227870] active_file:32 inactive_file:15 isolated_file:0 [ 1385.227870] unevictable:0 dirty:0 writeback:0 [ 1385.227870] slab_reclaimable:7157 slab_unreclaimable:62435 [ 1385.227870] mapped:69634 shmem:122 pagetables:3191 bounce:0 [ 1385.227870] kernel_misc_reclaimable:0 [ 1385.227870] free:3392 free_pcp:209 free_cma:0 [ 1385.232157] Node 0 active_anon:18168kB inactive_anon:146424kB active_file:128kB inactive_file:0kB unevictable:0kB isolated(anon):0kB isolated(file):0kB mapped:278536kB dirty:0kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6368kB pagetables:12764kB all_unreclaimable? yes [ 1385.235125] Node 0 DMA free:6512kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1385.238268] lowmem_reserve[]: 0 1617 1617 1617 [ 1385.238855] Node 0 DMA32 free:7056kB boost:2048kB min:7168kB low:8824kB high:10480kB reserved_highatomic:6144KB active_anon:18168kB inactive_anon:146424kB active_file:128kB inactive_file:60kB unevictable:0kB writepending:0kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:836kB local_pcp:576kB free_cma:0kB [ 1385.242289] lowmem_reserve[]: 0 0 0 0 [ 1385.242791] Node 0 DMA: 0*4kB 0*8kB 1*16kB (U) 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6512kB [ 1385.244477] Node 0 DMA32: 568*4kB (UMEH) 178*8kB (UMEH) 80*16kB (UMEH) 41*32kB (UMEH) 0*64kB 0*128kB 1*256kB (H) 1*512kB (H) 0*1024kB 0*2048kB 0*4096kB = 7056kB [ 1385.246341] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1385.247390] 169 total pagecache pages [ 1385.247885] 0 pages in swap cache [ 1385.248330] Swap cache stats: add 0, delete 0, find 0/0 [ 1385.248974] Free swap = 0kB [ 1385.249372] Total swap = 0kB [ 1385.249760] 524158 pages RAM [ 1385.250138] 0 pages HighMem/MovableOnly [ 1385.250640] 105117 pages reserved [ 1385.251069] Unreclaimable slab info: [ 1385.251559] Name Used Total [ 1385.252237] pid_5 7KB 7KB [ 1385.252887] pid_4 15KB 15KB [ 1385.253555] pid_3 7KB 7KB [ 1385.254228] pid_2 72KB 78KB [ 1385.254872] IEEE-802.15.4-MAC 63KB 63KB [ 1385.255558] IEEE-802.15.4-RAW 63KB 63KB [ 1385.256219] p9_req_t 8KB 8KB [ 1385.256866] fib6_nodes 28KB 28KB [ 1385.257535] ip6_dst_cache 37KB 37KB [ 1385.258194] PINGv6 63KB 63KB [ 1385.258841] RAWv6 220KB 220KB [ 1385.259522] UDPLITEv6 62KB 62KB [ 1385.260188] UDPv6 62KB 62KB [ 1385.260837] tw_sock_TCPv6 15KB 15KB [ 1385.261503] TCPv6 62KB 62KB [ 1385.262169] scsi_sense_cache 8KB 8KB [ 1385.262827] sd_ext_cdb 3KB 3KB [ 1385.263497] virtio_scsi_cmd 16KB 16KB [ 1385.264140] bio-96 7KB 7KB [ 1385.264814] sgpool-128 157KB 357KB [ 1385.265491] sgpool-64 63KB 63KB [ 1385.266166] sgpool-32 157KB 157KB [ 1385.266817] sgpool-16 75KB 75KB [ 1385.267505] sgpool-8 64KB 67KB [ 1385.268175] bio-224 7KB 7KB [ 1385.268821] mqueue_inode_cache 60KB 60KB [ 1385.269500] nfs_commit_data 15KB 15KB [ 1385.270170] nfs_write_data 47KB 47KB [ 1385.270817] jbd2_inode 7KB 7KB [ 1385.271505] ext4_system_zone 7KB 7KB [ 1385.272176] ext4_io_end_vec 15KB 15KB [ 1385.272818] ext4_bio_post_read_ctx 15KB 15KB [ 1385.273538] io_kiocb 41KB 41KB [ 1385.274207] kioctx 31KB 31KB [ 1385.274869] aio_kiocb 7KB 7KB [ 1385.275552] dnotify_mark 7KB 7KB [ 1385.276227] dnotify_struct 7KB 7KB [ 1385.276877] dio 30KB 30KB [ 1385.277547] fasync_cache 7KB 7KB [ 1385.278223] pid_namespace 11KB 11KB [ 1385.278873] posix_timers_cache 7KB 7KB [ 1385.279581] rpc_buffers 31KB 31KB [ 1385.280272] rpc_tasks 3KB 3KB [ 1385.280932] UNIX-STREAM 207KB 372KB [ 1385.281604] UNIX 131KB 279KB [ 1385.282269] UDP-Lite 63KB 63KB [ 1385.282927] tcp_bind_bucket 8KB 8KB [ 1385.283609] inet_peer_cache 4KB 4KB [ 1385.284278] ip_fib_trie 8KB 8KB [ 1385.284932] ip_fib_alias 11KB 11KB [ 1385.285604] ip_dst_cache 32KB 32KB [ 1385.286273] RAW 125KB 125KB [ 1385.286922] UDP 220KB 220KB [ 1385.287611] request_sock_TCP 15KB 15KB [ 1385.288283] TCP 58KB 58KB [ 1385.288933] hugetlbfs_inode_cache 31KB 31KB [ 1385.289642] bio-216 11KB 11KB [ 1385.290308] ep_head 8KB 8KB [ 1385.290960] eventpoll_pwq 19KB 19KB [ 1385.291636] eventpoll_epi 35KB 35KB [ 1385.292308] inotify_inode_mark 47KB 50KB [ 1385.292970] request_queue 62KB 62KB [ 1385.293641] blkdev_ioc 8KB 8KB [ 1385.294314] bio-160 48KB 48KB [ 1385.294973] biovec-max 595KB 595KB [ 1385.295654] biovec-128 31KB 31KB [ 1385.296333] biovec-64 299KB 299KB [ 1385.296984] biovec-16 41KB 41KB [ 1385.297660] user_namespace 30KB 30KB [ 1385.298325] uid_cache 7KB 7KB [ 1385.298972] dmaengine-unmap-2 4KB 4KB [ 1385.299656] audit_buffer 7KB 7KB [ 1385.300336] skbuff_fclone_cache 112KB 112KB [ 1385.301012] skbuff_head_cache 1468KB 1518KB [ 1385.301688] configfs_dir_cache 4KB 4KB [ 1385.302365] file_lock_cache 39KB 39KB [ 1385.303022] file_lock_ctx 7KB 7KB [ 1385.303707] fsnotify_mark_connector 20KB 20KB [ 1385.304448] net_namespace 124KB 124KB [ 1385.305102] taskstats 37KB 37KB [ 1385.305780] proc_dir_entry 457KB 468KB [ 1385.306450] pde_opener 27KB 27KB [ 1385.307107] seq_file 41KB 41KB [ 1385.307802] sigqueue 35KB 35KB [ 1385.308479] shmem_inode_cache 1497KB 1591KB [ 1385.309132] kernfs_iattrs_cache 250KB 250KB [ 1385.309821] kernfs_node_cache 5394KB 5423KB [ 1385.310492] mnt_cache 238KB 259KB [ 1385.311167] filp 1536KB 1650KB [ 1385.311837] names_cache 10846KB 11007KB [ 1385.312511] hashtab_node 274KB 274KB [ 1385.313177] ebitmap_node 1149KB 1149KB [ 1385.313833] avtab_node 4976KB 4976KB [ 1385.314509] avc_node 35KB 35KB [ 1385.315186] lsm_inode_cache 2645KB 3055KB [ 1385.315853] lsm_file_cache 76KB 128KB [ 1385.316527] key_jar 39KB 39KB [ 1385.317195] uts_namespace 15KB 15KB [ 1385.317849] nsproxy 15KB 15KB [ 1385.318519] vm_area_struct 1606KB 1632KB [ 1385.319189] mm_struct 308KB 378KB [ 1385.319856] fs_cache 52KB 52KB [ 1385.320527] files_cache 166KB 175KB [ 1385.321191] signal_cache 398KB 425KB [ 1385.321841] sighand_cache 494KB 511KB [ 1385.322511] task_struct 1658KB 1845KB [ 1385.323183] cred_jar 141KB 180KB [ 1385.323861] anon_vma_chain 393KB 405KB [ 1385.324534] anon_vma 437KB 456KB [ 1385.325199] pid 57KB 78KB [ 1385.325855] Acpi-Operand 84KB 142KB [ 1385.326524] Acpi-ParseExt 35KB 35KB [ 1385.327200] Acpi-Parse 35KB 51KB [ 1385.327874] Acpi-State 43KB 59KB [ 1385.328557] Acpi-Namespace 28KB 28KB [ 1385.329236] shared_policy_node 4KB 4KB [ 1385.329908] numa_policy 7KB 7KB [ 1385.330582] perf_event 63KB 63KB [ 1385.331258] trace_event_file 175KB 175KB [ 1385.331931] ftrace_event_field 296KB 296KB [ 1385.332627] pool_workqueue 40KB 40KB [ 1385.333312] task_group 16KB 16KB [ 1385.333976] vmap_area 40KB 78KB [ 1385.334666] page->ptl 357KB 381KB [ 1385.335345] kmemleak_scan_area 28KB 75KB [ 1385.336174] kmemleak_object 139987KB 149558KB [ 1385.336841] kmalloc-cg-8k 96KB 96KB [ 1385.337519] kmalloc-cg-4k 896KB 896KB [ 1385.338195] kmalloc-cg-2k 968KB 1088KB [ 1385.338849] kmalloc-cg-1k 252KB 288KB [ 1385.339524] kmalloc-cg-512 288KB 288KB [ 1385.340201] kmalloc-cg-256 16KB 16KB [ 1385.340864] kmalloc-cg-192 32KB 32KB [ 1385.341542] kmalloc-cg-128 12KB 12KB [ 1385.342231] kmalloc-cg-96 44KB 44KB [ 1385.342883] kmalloc-cg-64 28KB 28KB [ 1385.343577] kmalloc-cg-32 49KB 64KB [ 1385.344249] kmalloc-cg-16 12KB 12KB [ 1385.344911] kmalloc-cg-8 19KB 19KB [ 1385.345578] kmalloc-8k 4912KB 5088KB [ 1385.346246] kmalloc-4k 6464KB 6592KB [ 1385.346907] kmalloc-2k 4484KB 4768KB [ 1385.347595] kmalloc-1k 9920KB 9920KB [ 1385.348273] kmalloc-512 6210KB 6400KB [ 1385.348935] kmalloc-256 1071KB 1136KB [ 1385.349607] kmalloc-192 352KB 564KB [ 1385.350283] kmalloc-128 446KB 616KB [ 1385.350935] kmalloc-96 530KB 580KB [ 1385.351628] kmalloc-64 1432KB 1432KB [ 1385.352293] kmalloc-32 707KB 748KB [ 1385.352942] kmalloc-16 321KB 348KB [ 1385.353611] kmalloc-8 268KB 274KB [ 1385.354285] kmem_cache_node 51KB 51KB [ 1385.354945] kmem_cache 82KB 82KB [ 1385.355626] oom-kill:constraint=CONSTRAINT_NONE,nodemask=(null),cpuset=/,mems_allowed=0,global_oom,task_memcg=/syz3,task=syz-executor.3,pid=8267,uid=0 [ 1385.357312] Out of memory: Killed process 8267 (syz-executor.3) total-vm:93544kB, anon-rss:560kB, file-rss:34820kB, shmem-rss:0kB, UID:0 pgtables:136kB oom_score_adj:1000 [ 1385.359636] oom_reaper: reaped process 8267 (syz-executor.3), now anon-rss:0kB, file-rss:34816kB, shmem-rss:0kB [ 1385.406073] syz-executor.2: vmalloc error: size 912412672, page order 0, failed to allocate pages, mode:0xdc0(GFP_KERNEL|__GFP_ZERO), nodemask=(null),cpuset=syz2,mems_allowed=0 [ 1385.408392] CPU: 1 PID: 9425 Comm: syz-executor.2 Tainted: G W 5.16.0-next-20220120 #1 [ 1385.409920] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1385.411300] Call Trace: [ 1385.411633] [ 1385.411919] dump_stack_lvl+0x8b/0xb3 [ 1385.412414] warn_alloc.cold+0x95/0x18a [ 1385.412921] ? zone_watermark_ok_safe+0x240/0x240 [ 1385.413677] ? __schedule+0x88a/0x2190 [ 1385.414308] ? io_schedule_timeout+0x180/0x180 [ 1385.414889] ? __vmalloc_node_range+0x7b7/0x10b0 [ 1385.415508] __vmalloc_node_range+0xe7a/0x10b0 [ 1385.416095] ? __vmalloc_node_no_huge+0x110/0x110 [ 1385.416707] ? lock_is_held_type+0xd7/0x130 [ 1385.417273] ? packet_set_ring+0xb60/0x1b20 [ 1385.417834] __vmalloc_node+0xb5/0x100 [ 1385.418328] ? packet_set_ring+0xb60/0x1b20 [ 1385.418890] packet_set_ring+0xb60/0x1b20 [ 1385.419440] packet_setsockopt+0x1b4f/0x3c40 [ 1385.420029] ? lock_release+0x3b2/0x6f0 [ 1385.420552] ? __fget_files+0x26b/0x470 [ 1385.421060] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1385.421771] ? packet_bind+0x1b0/0x1b0 [ 1385.422281] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1385.422972] ? selinux_netlbl_socket_setsockopt+0x9e/0x420 [ 1385.423686] ? selinux_netlbl_sock_rcv_skb+0x540/0x540 [ 1385.424355] ? selinux_socket_setsockopt+0x6a/0x80 [ 1385.424978] ? __sanitizer_cov_trace_const_cmp4+0x1c/0x70 [ 1385.425671] __sys_setsockopt+0x180/0x2a0 [ 1385.426394] ? packet_bind+0x1b0/0x1b0 [ 1385.427106] ? __ia32_sys_recv+0x100/0x100 [ 1385.427894] __x64_sys_setsockopt+0xba/0x150 [ 1385.428683] ? syscall_enter_from_user_mode+0x1d/0x50 [ 1385.429352] do_syscall_64+0x3b/0x90 [ 1385.429901] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1385.430574] RIP: 0033:0x7f8783846b19 [ 1385.431068] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 1385.433367] RSP: 002b:00007f8780dbc188 EFLAGS: 00000246 ORIG_RAX: 0000000000000036 [ 1385.434332] RAX: ffffffffffffffda RBX: 00007f8783959f60 RCX: 00007f8783846b19 [ 1385.435237] RDX: 000000000000000d RSI: 0000000000000107 RDI: 0000000000000003 [ 1385.436153] RBP: 00007f87838a0f6d R08: 0000000000000010 R09: 0000000000000000 [ 1385.437050] R10: 0000000020000100 R11: 0000000000000246 R12: 0000000000000000 [ 1385.437959] R13: 00007fffd8ae1b1f R14: 00007f8780dbc300 R15: 0000000000022000 [ 1385.438856] [ 1385.439207] Mem-Info: [ 1385.439556] active_anon:4542 inactive_anon:36564 isolated_anon:0 [ 1385.439556] active_file:187 inactive_file:327 isolated_file:38 [ 1385.439556] unevictable:0 dirty:0 writeback:0 [ 1385.439556] slab_reclaimable:7157 slab_unreclaimable:62239 [ 1385.439556] mapped:69970 shmem:122 pagetables:3170 bounce:0 [ 1385.439556] kernel_misc_reclaimable:0 [ 1385.439556] free:2827 free_pcp:169 free_cma:0 [ 1385.444238] Node 0 active_anon:18168kB inactive_anon:146256kB active_file:732kB inactive_file:1164kB unevictable:0kB isolated(anon):0kB isolated(file):152kB mapped:279964kB dirty:0kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6304kB pagetables:12680kB all_unreclaimable? no [ 1385.447819] Node 0 DMA free:6512kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1385.451631] lowmem_reserve[]: 0 1617 1617 1617 [ 1385.452293] Node 0 DMA32 free:4796kB boost:0kB min:5120kB low:6776kB high:8432kB reserved_highatomic:6144KB active_anon:18168kB inactive_anon:146256kB active_file:284kB inactive_file:1348kB unevictable:0kB writepending:0kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:844kB local_pcp:676kB free_cma:0kB [ 1385.456525] lowmem_reserve[]: 0 0 0 0 [ 1385.457058] Node 0 DMA: 0*4kB 0*8kB 1*16kB (U) 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6512kB [ 1385.459131] Node 0 DMA32: 49*4kB (UEH) 42*8kB (UMEH) 68*16kB (UMEH) 56*32kB (UMEH) 3*64kB (U) 1*128kB (U) 1*256kB (H) 1*512kB (H) 0*1024kB 0*2048kB 0*4096kB = 4500kB [ 1385.461416] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1385.462754] 841 total pagecache pages [ 1385.463255] 0 pages in swap cache [ 1385.463709] Swap cache stats: add 0, delete 0, find 0/0 [ 1385.464396] Free swap = 0kB [ 1385.464790] Total swap = 0kB [ 1385.465206] 524158 pages RAM [ 1385.465597] 0 pages HighMem/MovableOnly [ 1385.466094] 105117 pages reserved [ 1385.467130] systemd-udevd invoked oom-killer: gfp_mask=0x40cc0(GFP_KERNEL|__GFP_COMP), order=1, oom_score_adj=-1000 [ 1385.468640] CPU: 0 PID: 126 Comm: systemd-udevd Tainted: G W 5.16.0-next-20220120 #1 [ 1385.469782] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS rel-1.14.0-0-g155821a1990b-prebuilt.qemu.org 04/01/2014 [ 1385.471217] Call Trace: [ 1385.471561] [ 1385.471858] dump_stack_lvl+0x8b/0xb3 [ 1385.472366] dump_header+0x106/0x60f [ 1385.472859] oom_kill_process.cold+0x10/0x15 [ 1385.473452] out_of_memory+0x358/0x14b0 [ 1385.473990] ? oom_killer_disable+0x270/0x270 [ 1385.474595] __alloc_pages_slowpath.constprop.0+0x1972/0x1f10 [ 1385.475376] ? warn_alloc+0x180/0x180 [ 1385.475908] ? kernel_text_address+0x53/0xb0 [ 1385.476500] ? prepare_alloc_pages+0x371/0x500 [ 1385.477104] __alloc_pages+0x403/0x4e0 [ 1385.477619] ? __alloc_pages_slowpath.constprop.0+0x1f10/0x1f10 [ 1385.478409] ? filter_irq_stacks+0x90/0x90 [ 1385.478972] ? entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1385.479673] ? lock_acquire+0x41c/0x4d0 [ 1385.480194] ? rcu_read_lock_sched_held+0xd/0x70 [ 1385.480814] ? lock_release+0x505/0x6f0 [ 1385.481339] ? lock_release+0x6f0/0x6f0 [ 1385.481862] ? __slab_alloc.constprop.0+0x45/0x80 [ 1385.482501] alloc_pages+0x1a0/0x2f0 [ 1385.482998] ? unwind_get_return_address+0x51/0x90 [ 1385.483651] new_slab+0x272/0x360 [ 1385.484100] ___slab_alloc+0x849/0xc60 [ 1385.484611] ? getname_flags.part.0+0x50/0x4f0 [ 1385.485234] ? lock_downgrade+0x6d0/0x6d0 [ 1385.485774] ? lock_release+0x505/0x6f0 [ 1385.486296] ? memcg_slab_post_alloc_hook+0x206/0x440 [ 1385.486967] ? lock_downgrade+0x6d0/0x6d0 [ 1385.487523] ? getname_flags.part.0+0x50/0x4f0 [ 1385.488133] __slab_alloc.constprop.0+0x45/0x80 [ 1385.488747] ? getname_flags.part.0+0x50/0x4f0 [ 1385.489359] kmem_cache_alloc+0x393/0x480 [ 1385.489898] getname_flags.part.0+0x50/0x4f0 [ 1385.490489] ? projid_m_show+0x220/0x220 [ 1385.491032] getname_flags+0x9a/0xe0 [ 1385.491541] user_path_at_empty+0x2b/0x60 [ 1385.492092] do_faccessat+0x127/0x810 [ 1385.492595] ? stream_open+0x60/0x60 [ 1385.493082] ? __secure_computing+0xb4/0x290 [ 1385.493658] do_syscall_64+0x3b/0x90 [ 1385.494157] entry_SYSCALL_64_after_hwframe+0x44/0xae [ 1385.494833] RIP: 0033:0x7fecf7f8cff7 [ 1385.495318] Code: 77 01 c3 48 8b 15 99 ee 0c 00 f7 d8 64 89 02 48 c7 c0 ff ff ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 66 90 b8 15 00 00 00 0f 05 <48> 3d 00 f0 ff ff 77 01 c3 48 8b 15 69 ee 0c 00 f7 d8 64 89 02 b8 [ 1385.497618] RSP: 002b:00007ffcd9bcc258 EFLAGS: 00000246 ORIG_RAX: 0000000000000015 [ 1385.498591] RAX: ffffffffffffffda RBX: 00007fecf92774c0 RCX: 00007fecf7f8cff7 [ 1385.499518] RDX: 0000000000000005 RSI: 0000000000000000 RDI: 00007ffcd9bcc260 [ 1385.500432] RBP: 00007ffcd9bcc2e0 R08: 00007fecf82177e0 R09: 00007fecf805cbe0 [ 1385.501336] R10: 0000000000000000 R11: 0000000000000246 R12: 00007fecf9288a90 [ 1385.502244] R13: 00007ffcd9bcc260 R14: 00007fecf9288a60 R15: 00007fecf926fa60 [ 1385.503159] [ 1385.503494] Mem-Info: [ 1385.503817] active_anon:4542 inactive_anon:36564 isolated_anon:0 [ 1385.503817] active_file:280 inactive_file:320 isolated_file:67 [ 1385.503817] unevictable:0 dirty:0 writeback:0 [ 1385.503817] slab_reclaimable:7157 slab_unreclaimable:62239 [ 1385.503817] mapped:70096 shmem:122 pagetables:3170 bounce:0 [ 1385.503817] kernel_misc_reclaimable:0 [ 1385.503817] free:40501 free_pcp:131 free_cma:0 [ 1385.508204] Node 0 active_anon:18168kB inactive_anon:146256kB active_file:1120kB inactive_file:1280kB unevictable:0kB isolated(anon):0kB isolated(file):268kB mapped:280384kB dirty:0kB writeback:0kB shmem:488kB writeback_tmp:0kB kernel_stack:6304kB pagetables:12680kB all_unreclaimable? no [ 1385.511247] Node 0 DMA free:6512kB boost:0kB min:44kB low:56kB high:68kB reserved_highatomic:0KB active_anon:0kB inactive_anon:0kB active_file:0kB inactive_file:0kB unevictable:0kB writepending:0kB present:15992kB managed:15360kB mlocked:0kB bounce:0kB free_pcp:0kB local_pcp:0kB free_cma:0kB [ 1385.514353] lowmem_reserve[]: 0 1617 1617 1617 [ 1385.514936] Node 0 DMA32 free:202364kB boost:0kB min:5120kB low:6776kB high:8432kB reserved_highatomic:6144KB active_anon:18168kB inactive_anon:146256kB active_file:788kB inactive_file:1348kB unevictable:0kB writepending:0kB present:2080640kB managed:1660804kB mlocked:0kB bounce:0kB free_pcp:752kB local_pcp:272kB free_cma:0kB [ 1385.518382] lowmem_reserve[]: 0 0 0 0 [ 1385.518869] Node 0 DMA: 0*4kB 0*8kB 1*16kB (U) 1*32kB (U) 1*64kB (U) 0*128kB 1*256kB (U) 0*512kB 0*1024kB 1*2048kB (M) 1*4096kB (M) = 6512kB [ 1385.520530] Node 0 DMA32: 2175*4kB (UMEH) 2029*8kB (UMEH) 1218*16kB (UMEH) 1107*32kB (UMEH) 674*64kB (UMH) 408*128kB (U) 203*256kB (UH) 2*512kB (UH) 0*1024kB 0*2048kB 0*4096kB = 228196kB [ 1385.522654] Node 0 hugepages_total=0 hugepages_free=0 hugepages_surp=0 hugepages_size=2048kB [ 1385.523724] 799 total pagecache pages [ 1385.524213] 0 pages in swap cache [ 1385.524642] Swap cache stats: add 0, delete 0, find 0/0 [ 1385.525302] Free swap = 0kB [ 1385.525683] Total swap = 0kB [ 1385.526059] 524158 pages RAM [ 1385.526457] 0 pages HighMem/MovableOnly [ 1385.526940] 105117 pages reserved [ 1385.527384] Unreclaimable slab info: [ 1385.527844] Name Used Total [ 1385.528520] pid_5 7KB 7KB [ 1385.529195] pid_4 15KB 15KB [ 1385.529860] pid_3 7KB 7KB [ 1385.530522] pid_2 72KB 78KB [ 1385.531187] IEEE-802.15.4-MAC 63KB 63KB [ 1385.531854] IEEE-802.15.4-RAW 63KB 63KB [ 1385.532527] p9_req_t 8KB 8KB [ 1385.533187] fib6_nodes 28KB 28KB [ 1385.533831] ip6_dst_cache 37KB 37KB [ 1385.534491] PINGv6 63KB 63KB [ 1385.535140] RAWv6 220KB 220KB [ 1385.535810] UDPLITEv6 62KB 62KB [ 1385.536478] UDPv6 62KB 62KB [ 1385.537121] tw_sock_TCPv6 15KB 15KB [ 1385.537782] TCPv6 62KB 62KB [ 1385.538443] scsi_sense_cache 8KB 8KB [ 1385.539093] sd_ext_cdb 3KB 3KB [ 1385.539784] virtio_scsi_cmd 16KB 16KB [ 1385.540452] bio-96 7KB 7KB [ 1385.541099] sgpool-128 157KB 357KB [ 1385.541756] sgpool-64 63KB 63KB [ 1385.542423] sgpool-32 157KB 157KB [ 1385.543068] sgpool-16 75KB 75KB [ 1385.543757] sgpool-8 64KB 67KB [ 1385.544422] bio-224 7KB 7KB [ 1385.545068] mqueue_inode_cache 60KB 60KB [ 1385.545744] nfs_commit_data 15KB 15KB [ 1385.546411] nfs_write_data 47KB 47KB [ 1385.547052] jbd2_inode 7KB 7KB [ 1385.547728] ext4_system_zone 7KB 7KB [ 1385.548395] ext4_io_end_vec 15KB 15KB [ 1385.549039] ext4_bio_post_read_ctx 15KB 15KB [ 1385.549763] io_kiocb 41KB 41KB [ 1385.550425] kioctx 31KB 31KB [ 1385.551069] aio_kiocb 7KB 7KB [ 1385.551752] dnotify_mark 7KB 7KB [ 1385.552413] dnotify_struct 7KB 7KB [ 1385.553070] dio 30KB 30KB [ 1385.553731] fasync_cache 7KB 7KB [ 1385.554407] pid_namespace 11KB 11KB [ 1385.555058] posix_timers_cache 7KB 7KB [ 1385.555740] rpc_buffers 31KB 31KB [ 1385.556411] rpc_tasks 3KB 3KB [ 1385.557058] UNIX-STREAM 207KB 372KB [ 1385.557738] UNIX 131KB 279KB [ 1385.558434] UDP-Lite 63KB 63KB [ 1385.559108] tcp_bind_bucket 8KB 8KB [ 1385.559824] inet_peer_cache 4KB 4KB [ 1385.560522] ip_fib_trie 8KB 8KB [ 1385.561219] ip_fib_alias 11KB 11KB [ 1385.561905] ip_dst_cache 32KB 32KB [ 1385.562604] RAW 125KB 125KB [ 1385.563305] UDP 220KB 220KB [ 1385.563993] request_sock_TCP 15KB 15KB [ 1385.564688] TCP 58KB 58KB [ 1385.565392] hugetlbfs_inode_cache 31KB 31KB [ 1385.566122] bio-216 11KB 11KB [ 1385.566816] ep_head 8KB 8KB [ 1385.567527] eventpoll_pwq 19KB 19KB [ 1385.568224] eventpoll_epi 35KB 35KB [ 1385.568905] inotify_inode_mark 47KB 50KB [ 1385.569616] request_queue 62KB 62KB [ 1385.570316] blkdev_ioc 8KB 8KB [ 1385.570999] bio-160 48KB 48KB [ 1385.571708] biovec-max 595KB 595KB [ 1385.572404] biovec-128 31KB 31KB [ 1385.573080] biovec-64 299KB 299KB [ 1385.573778] biovec-16 41KB 41KB [ 1385.574471] user_namespace 30KB 30KB [ 1385.575121] uid_cache 7KB 7KB [ 1385.575796] dmaengine-unmap-2 4KB 4KB [ 1385.576460] audit_buffer 7KB 7KB [ 1385.577103] skbuff_fclone_cache 112KB 112KB [ 1385.577796] skbuff_head_cache 1490KB 1518KB [ 1385.578479] configfs_dir_cache 4KB 4KB [ 1385.579133] file_lock_cache 39KB 39KB [ 1385.579832] file_lock_ctx 7KB 7KB [ 1385.580503] fsnotify_mark_connector 20KB 20KB [ 1385.581230] net_namespace 124KB 124KB [ 1385.581871] taskstats 37KB 37KB [ 1385.582539] proc_dir_entry 457KB 468KB [ 1385.583199] pde_opener 27KB 27KB [ 1385.583855] seq_file 41KB 41KB [ 1385.584521] sigqueue 35KB 35KB [ 1385.585184] shmem_inode_cache 1497KB 1591KB [ 1385.585833] kernfs_iattrs_cache 250KB 250KB [ 1385.586518] kernfs_node_cache 5394KB 5423KB [ 1385.587180] mnt_cache 238KB 259KB [ 1385.587839] filp 1445KB 1650KB [ 1385.588502] names_cache 10140KB 10140KB [ 1385.589166] hashtab_node 274KB 274KB [ 1385.589816] ebitmap_node 1149KB 1149KB [ 1385.590479] avtab_node 4976KB 4976KB [ 1385.591120] avc_node 35KB 35KB [ 1385.591809] lsm_inode_cache 2655KB 3055KB [ 1385.592478] lsm_file_cache 84KB 128KB [ 1385.593124] key_jar 39KB 39KB [ 1385.593781] uts_namespace 15KB 15KB [ 1385.594439] nsproxy 15KB 15KB [ 1385.595088] vm_area_struct 1606KB 1632KB [ 1385.595771] mm_struct 308KB 378KB [ 1385.596431] fs_cache 52KB 52KB [ 1385.597074] files_cache 166KB 175KB [ 1385.597732] signal_cache 398KB 425KB [ 1385.598395] sighand_cache 494KB 511KB [ 1385.599036] task_struct 1658KB 1845KB [ 1385.599721] cred_jar 141KB 180KB [ 1385.600396] anon_vma_chain 393KB 405KB [ 1385.601047] anon_vma 435KB 456KB [ 1385.601718] pid 57KB 78KB [ 1385.602392] Acpi-Operand 84KB 142KB [ 1385.603038] Acpi-ParseExt 35KB 35KB [ 1385.603713] Acpi-Parse 35KB 51KB [ 1385.604377] Acpi-State 43KB 59KB [ 1385.605027] Acpi-Namespace 28KB 28KB [ 1385.605688] shared_policy_node 4KB 4KB [ 1385.606372] numa_policy 7KB 7KB [ 1385.607017] perf_event 63KB 63KB [ 1385.607693] trace_event_file 175KB 175KB [ 1385.608356] ftrace_event_field 296KB 296KB [ 1385.609018] pool_workqueue 40KB 40KB [ 1385.609699] task_group 16KB 16KB [ 1385.610358] vmap_area 40KB 78KB [ 1385.610999] page->ptl 357KB 381KB [ 1385.611686] kmemleak_scan_area 28KB 75KB [ 1385.612515] kmemleak_object 139703KB 149543KB [ 1385.613185] kmalloc-cg-8k 96KB 96KB [ 1385.613836] kmalloc-cg-4k 896KB 896KB [ 1385.614504] kmalloc-cg-2k 968KB 1088KB [ 1385.615166] kmalloc-cg-1k 252KB 288KB [ 1385.615824] kmalloc-cg-512 288KB 288KB [ 1385.616491] kmalloc-cg-256 16KB 16KB [ 1385.617159] kmalloc-cg-192 32KB 32KB [ 1385.617809] kmalloc-cg-128 12KB 12KB [ 1385.618477] kmalloc-cg-96 44KB 44KB [ 1385.619137] kmalloc-cg-64 28KB 28KB [ 1385.619828] kmalloc-cg-32 49KB 64KB [ 1385.620492] kmalloc-cg-16 12KB 12KB [ 1385.621162] kmalloc-cg-8 19KB 19KB [ 1385.621816] kmalloc-8k 4912KB 5088KB [ 1385.622483] kmalloc-4k 6440KB 6592KB [ 1385.623133] kmalloc-2k 4484KB 4768KB [ 1385.623817] kmalloc-1k 9952KB 9952KB [ 1385.624487] kmalloc-512 6248KB 6400KB [ 1385.625136] kmalloc-256 1071KB 1136KB [ 1385.625804] kmalloc-192 352KB 564KB [ 1385.626481] kmalloc-128 455KB 616KB [ 1385.627131] kmalloc-96 525KB 580KB [ 1385.627817] kmalloc-64 1436KB 1436KB [ 1385.628480] kmalloc-32 707KB 748KB [ 1385.629131] kmalloc-16 321KB 348KB [ 1385.629802] kmalloc-8 268KB 274KB [ 1385.630476] kmem_cache_node 51KB 51KB [ 1385.631127] kmem_cache 82KB 82KB [ 1385.631802] oom-kill:constraint=CONSTRAINT_NONE,nodemask=(null),cpuset=/,mems_allowed=0,global_oom,task_memcg=/syz2,task=syz-executor.2,pid=9424,uid=0 [ 1385.633518] Out of memory: Killed process 9425 (syz-executor.2) total-vm:93412kB, anon-rss:428kB, file-rss:34988kB, shmem-rss:0kB, UID:0 pgtables:136kB oom_score_adj:1000 [ 1385.635992] oom_reaper: reaped process 9425 (syz-executor.2), now anon-rss:0kB, file-rss:34816kB, shmem-rss:0kB 10:19:21 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400}, 0x10) 10:19:21 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00', 0x0}) r3 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r3, 0x0, 0x0, 0x0, {{}, {@val={0x8, 0x3, r2}, @void}}}, 0x1c}}, 0x0) 10:19:21 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600), 0x0, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:19:21 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x2, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) [ 1394.694849] loop4: detected capacity change from 0 to 2232 10:19:21 executing program 7: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, 0x0, 0x0) 10:19:21 executing program 2: setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:19:21 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x0, 0x81, 0x400, 0x773ca00}, 0x10) [ 1394.714219] ISO 9660 Extensions: Microsoft Joliet Level 3 [ 1394.714973] ISOFS: changing to secondary root [ 1394.725247] isofs_fill_super: get root inode failed 10:19:21 executing program 0: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400}, 0x10) 10:19:21 executing program 7: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x0, 0x81, 0x400, 0x773ca00}, 0x10) 10:19:21 executing program 3: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="01434430303101004c494e55582020202020202020202020202020202020202020202020202020204344524f4d20202020202020202020202020202020202020202020202020202000000000000000002301000000000123000000000000000000000000000000000000000000000000000000000000000001000001010000010008080018000000000000180d010000000000000000010f000000002200150100000000011500080000000008007809140b2a3a08020000010000010100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202073797a6b616c6c65722020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202047454e49534f494d4147452049534f20393636302f4846532046494c4553595354454d2043524541544f5220284329203139393320452e594f554e4744414c452028432920313939372d32303036204a2e50454152534f4e2f4a2e534348494c4c494e472028432920323030362d32303037204344524b4954205445414d202066696c6533202020202020202020202020202020202020202020202020202020202020202066696c6531202020202020202020202020202020202020202020202020202020202020202066696c6532202020202020202020202020202020202020202020202020202020202020202032303230303932303131343235383030083230323030393230313134323538303008303030303030303030303030303030300032303230303932303131343235383030080100202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202020202000"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a08020000010000010100220017010000", 0x28, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:19:21 executing program 1: r0 = socket$nl_generic(0x10, 0x3, 0x10) r1 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r1, 0x8933, &(0x7f00000000c0)={'wlan1\x00'}) r2 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000140), 0xffffffffffffffff) sendmsg$NL80211_CMD_CHANNEL_SWITCH(r0, &(0x7f00000001c0)={0x0, 0x0, &(0x7f0000000180)={&(0x7f00000003c0)={0x1c, r2, 0x1, 0x0, 0x0, {{}, {@val={0x8}, @void}}}, 0x1c}}, 0x0) 10:19:21 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x3, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) 10:19:21 executing program 2: setsockopt$packet_rx_ring(0xffffffffffffffff, 0x107, 0xd, &(0x7f0000000100)=@req={0x3b280000, 0x81, 0x400, 0x773ca00}, 0x10) 10:19:21 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000180)={0x0, 0x4, &(0x7f0000000140)={&(0x7f0000000340)=@newpolicy={0xfc, 0x13, 0x631, 0x0, 0x0, {{@in=@empty, @in=@loopback, 0x0, 0x0, 0x0, 0x0, 0xa, 0x0, 0x0, 0x0, 0x0, 0xee00}}, [@tmpl={0x44, 0x5, [{{@in=@private}, 0x2, @in=@dev}]}]}, 0xfc}}, 0x0) 10:19:21 executing program 3: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809140b2a3a08020000010000010100220017010000", 0x28, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) 10:19:21 executing program 6: r0 = socket$packet(0x11, 0x3, 0x300) setsockopt$packet_rx_ring(r0, 0x107, 0xd, &(0x7f0000000100)=@req={0x0, 0x81, 0x400, 0x773ca00}, 0x10) 10:19:21 executing program 4: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x38, &(0x7f0000000200)=[{&(0x7f0000010000)="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"/1408, 0x580, 0x8000}, {&(0x7f0000010600)="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"/1408, 0x580, 0x8800}, {&(0x7f0000010c00)="ff43443030310100"/32, 0x20, 0x9000}, {&(0x7f0000010d00)="0042454130310100"/32, 0x20, 0x9800}, {&(0x7f0000010e00)="004e535230320100"/32, 0x20, 0xa000}, {&(0x7f0000010f00)="0054454130310100"/32, 0x20, 0xa800}, {&(0x7f0000011000)="010002001a0000004db9f001200000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303044454100000000000000", 0x60, 0x10000}, {&(0x7f0000011100)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x100c0}, {&(0x7f0000011200)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x10160}, {&(0x7f0000011300)="04000200e60000001faff0012100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x80, 0x10800}, {&(0x7f0000011400)="000000000000000000000000000000000000000600"/32, 0x20, 0x108e0}, {&(0x7f0000011500)='\x00*genisoimage\x00'/32, 0x20, 0x10960}, {&(0x7f0000011600)="05000200ab000000e5acf001220000000200000001000000022b4e5352303200", 0x20, 0x11000}, {&(0x7f0000011700)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x110a0}, {&(0x7f0000011800)="060002004f0000006411ae01230000000300003a783528bfb647cdadd92f76029eaa1a00004f53544120436f6d70726573656420556e69636f646500"/95, 0x5f, 0x11800}, {&(0x7f0000011900)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x118c0}, {&(0x7f0000011a00)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x119a0}, {&(0x7f0000011b00)="07000200b10000006d0f0800240000000400"/32, 0x20, 0x12000}, {&(0x7f0000011c00)="08000200200000000000f0012500"/32, 0x20, 0x12800}, {&(0x7f0000011d00)="01000200fb000000993ef001300000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000601000100020002000100000001000000083546363732343232303030303045313400000000000000", 0x60, 0x18000}, {&(0x7f0000011e00)="0000000000000011004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000004f53544120436f6d7072657373656420556e69636f6465", 0x60, 0x180c0}, {&(0x7f0000011f00)="0000000000000000000000000000000000000000000000007810e40709140b2a3a000000002a67656e69736f696d61676500"/64, 0x40, 0x18160}, {&(0x7f0000000c00)="04000200f60001001faff0013100000001000000002a554446204c5620496e666f00000000000000000000000201000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d0000000000007aae6ebc5dadd45f3cfadec18dcca7c9c78564e672d9011eda19095ad0c290a419f3cb63fe8ee90def131a8b7dbcb9c8", 0xb0, 0x18800}, {&(0x7f0000012100)="000000000000000000000000000000000000000600"/32, 0x20, 0x188e0}, {&(0x7f0000012200)='\x00*genisoimage\x00'/32, 0x20, 0x18960}, {&(0x7f0000012300)="05000200bb000000e5acf001320000000200000001000000022b4e5352303200", 0x20, 0x19000}, {&(0x7f0000012400)="000000000000000000000000000000000000000000000000010000000101000021000000002a67656e69736f696d61676500"/64, 0x40, 0x190a0}, {&(0x7f0000012500)="060002005f0000006411ae013300000003000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000", 0x60, 0x19800}, {&(0x7f0000012600)="000000000000000000000000000000000000000600080000002a4f5354412055444620436f6d706c69616e74000000000201030000000000001000000000000000000000000000000600000001000000002a67656e69736f696d616765000000", 0x60, 0x198c0}, {&(0x7f0000012700)="0000000000000000000000000000000000100000400000000106010000000000", 0x20, 0x199a0}, {&(0x7f0000012800)="07000200c10000006d0f0800340000000400"/32, 0x20, 0x1a000}, {&(0x7f0000012900)="08000200300000000000f0013500"/32, 0x20, 0x1a800}, {&(0x7f0000012a00)="090002008800000018af7600400000007810e40709140b2a3a0000000100000000000000000000000d01000000000000000000000000000000000000000000000000000000000000010000002e0000000000000021000000002a67656e69736f696d61676500000000000000000000000000000000000000060000000200000002010201020100"/160, 0xa0, 0x20000}, {&(0x7f0000012b00)="080002003c0000000000f0014100"/32, 0x20, 0x20800}, {&(0x7f0000000cc0)="02000200ce00000001d7f0010001000000800000200000000080000030000000", 0x20, 0x80000}, {&(0x7f0000012d00)="0001020032000000e559f001000000007810e40709140b2a3a0000000300030001000000010000000000000000000000004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d00"/128, 0x80, 0x80800}, {&(0x7f0000012e00)="00000000000000000000000000000006004f53544120436f6d7072657373656420556e69636f646500000000000000000000000000000000000000000000000000000000000000000000000000000000084344524f4d000000000000000000000000000000000000000000000000000600"/128, 0x80, 0x808e0}, {&(0x7f0000012f00)="0000000000000000000000000000000000080000020000000000000000000000002a4f5354412055444620436f6d706c69616e74000000000201030000000000", 0x40, 0x80980}, {&(0x7f0000013000)="08000200fc0000000000f0010100"/32, 0x20, 0x81000}, {&(0x7f0000013100)="050102008e0000007c60a8000200000000000000040000000100000400000000000030020000000000000000a51400000200000000000000080100000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000000000000000000000000000008000000080100000300"/192, 0xc0, 0x81800}, {&(0x7f0000013200)="01010200c8000000476218000300000001000a00000800000200000000000000000000000000000001010200ef00000037912000030000000100000a0008000006000000000000000701000000000866696c652e636f6c640101020092000000c9a61c0003000000010002060008000004000000000000000501000000000866696c653001010200af000000d7b51c0003000000010000060008000007000000000000000801000000000866696c6531010102003700000061b31c0003000000010000060008000008000000000000000901000000000866696c653201010200220000006c931c0003000000010000060008000009000000000000000a01000000000866696c653300"/288, 0x120, 0x82000}, {&(0x7f0000013400)="0501020065000000a50ca8000400000000000000040000000100000400000000000030020000000000000000a51400000100000000000000800000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000005010000000000000000000008000000800000000500"/192, 0xc0, 0x82800}, {&(0x7f0000013500)="01010200ca000000476218000500000001000a00000800000200000000000000000000000000000001010200d2000000affe1c000500000001000006000800000a000000000000000b01000000000866696c6530010102006e00000076d31c000500000001000006000800000b000000000000000c01000000000866696c6531", 0x80, 0x83000}, {&(0x7f0000013600)="050102009d00000045a2a8000600000000000000040000000100000500000000000030020000000000000000841000000100000000000000640000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000007010000000000000000000008000000640000001900"/192, 0xc0, 0x83800}, {&(0x7f0000013700)="0501020028000000442da80007000000000000000400000001000005000000000000300200000000000000008410000001000000000000000a0000000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d61676500000000000000000000000000000000000000080100000000000000000000080000000a0000001a00"/192, 0xc0, 0x84000}, {&(0x7f0000013800)="05010200010000002524a8000800000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d6167650000000000000000000000000000000000000009010000000000000000000008000000282300001b00"/192, 0xc0, 0x84800}, {&(0x7f0000013900)="0501020056000000207da8000900000000000000040000000100000500000000000030020000000000000000841000000100000000000000282300000000000005000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000a010000000000000000000008000000282300001b00"/192, 0xc0, 0x85000}, {&(0x7f0000013a00)="050102008100000018afa8000a000000000000000400000001000005000000000000300200000000000000008410000001000000000000001a0400000000000001000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000b0100000000000000000000080000001a0400002000"/192, 0xc0, 0x85800}, {&(0x7f0000013b00)="0501020039000000f690a0000b00000000000000040000000100000500000000000030020000000000000000841000000100000000000000000000000000000000000000000000007810e40709140b2a3a0000007810e40709140b2a3a0000007810e40709140b2a3a0000000100000000000000000000000000000000000000002a67656e69736f696d616765000000000000000000000000000000000000000c0100"/192, 0xc0, 0x86000}, {&(0x7f0000013c00)="01001501000001000000050016010000010046494c4530000000000000000000", 0x20, 0x86800}, {&(0x7f0000013d00)="01000000011500010000050000000116000146494c4530000000000000000000", 0x20, 0x87800}, {&(0x7f0000013e00)="010017010000010000000a0018010000010000660069006c0065003000000000", 0x20, 0x88800}, {&(0x7f0000013f00)="010000000117000100000a0000000118000100660069006c0065003000000000", 0x20, 0x89800}, {&(0x7f0000014000)="8800150100000000011500080000000008007809140b2a3a0802000001000001010053500701beef005252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0843451c0119010000000001190000000000000000ed000000000000ed6600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08008c001a0100000000011a64000000000000647809140b2a3a08000000010000010a46494c452e434f4c3b310041410e0254455854756e6978000052520501894e4d0e010066696c652e636f6c64505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08007400160100000000011600080000000008007809140b2a3a08020000010000010546494c453052520501894e4d0a010066696c6530505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001b0100000000011b0a0000000000000a7809140b2a3a08000000010000010846494c45312e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6531505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45322e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6532505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a080086001c0100000000011c28230000000023287809140b2a3a08000000010000010846494c45332e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6533505824016d8100000000816d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800", 0x380, 0x8a800}, {&(0x7f0000014400)="6600160100000000011600080000000008007809140b2a3a080200000100000101005252050181505824016d4100000000416d02000000000000020000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08006600150100000000011500080000000008007809140b2a3a080200000100000101015252050181505824016d4100000000416d03000000000000030000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800860021010000000001211a0400000000041a7809140b2a3a08000000010000010846494c45302e3b310041410e0254455854756e6978000052520501894e4d0a010066696c6530505824016d8100000000816d01000000000000010000000000000000000000000000000054461a010e7809140b2a3a087809140b2a3a087809140b2a3a0800a800220100000000012200000000000000007809140b2a3a08000000010000010846494c45312e3b3100525205018d4e4d0a010066696c6531505824016da100000000a16d010000000000000100000000000000000000000000000000534c31010008000003746d70001573797a2d696d61676567656e393632343934303438000566696c6530000566696c653054461a010e7809140b2a3a087809140b2a3a087809140b2a3a08000000000000", 0x200, 0x8b000}, {&(0x7f0000014600)="2200170100000000011700080000000008007809", 0x14, 0x8b800}], 0x0, &(0x7f0000015200)) mount$cgroup2(0x0, &(0x7f0000000080)='./file0\x00', &(0x7f00000000c0), 0x3a42069, &(0x7f0000000800)=ANY=[@ANYBLOB='memory_recursiveprot,nsdelegate,memory_recursiveprot,nsdelegate,nsdelegate,memory_recursiveprot,memory_localevents,nsdelegate,nsdelegate,subj_type=iso9660\x00,uid=', @ANYRESDEC=0xee00, @ANYBLOB=',pcrF0000000000000000004praise_type=imasig,\x00']) [ 1394.895238] loop4: detected capacity change from 0 to 2232 [ 1394.909170] ISO 9660 Extensions: Microsoft Joliet Level 3 [ 1394.912521] ISOFS: changing to secondary root [ 1394.916942] isofs_fill_super: root inode is not a directory. Corrupted media? VM DIAGNOSIS: 10:19:12 Registers: info registers vcpu 0 RAX=0000000000000036 RBX=00000000000003f8 RCX=0000000000000000 RDX=00000000000003f8 RSI=ffffffff82367b81 RDI=ffffffff87399c00 RBP=ffffffff87399bc0 RSP=ffff88801737ef38 R8 =0000000000000010 R9 =0000000000000036 R10=ffffffff8237719a R11=000000000000000a R12=0000000000000036 R13=0000000000000010 R14=ffffffff82367b70 R15=ffffffff87399bc0 RIP=ffffffff82367bd8 RFL=00000002 [-------] CPL=0 II=0 A20=1 SMM=0 HLT=0 ES =0000 0000000000000000 00000000 00000000 CS =0010 0000000000000000 ffffffff 00a09b00 DPL=0 CS64 [-RA] SS =0018 0000000000000000 ffffffff 00c09300 DPL=0 DS [-WA] DS =0000 0000000000000000 00000000 00000000 FS =0000 00007fecf7add8c0 00000000 00000000 GS =0000 ffff88806ce00000 00000000 00000000 LDT=0000 ffff888000000000 00000000 00000000 TR =0040 fffffe0000003000 00004087 00008b00 DPL=0 TSS64-busy GDT= fffffe0000001000 0000007f IDT= fffffe0000000000 00000fff CR0=80050033 CR2=00007fecf7ff8e96 CR3=000000000d2ac000 CR4=00350ef0 DR0=0000000000000000 DR1=0000000000000000 DR2=0000000000000000 DR3=0000000000000000 DR6=00000000ffff0ff0 DR7=0000000000000400 EFER=0000000000000d01 FCW=037f FSW=0000 [ST=0] FTW=00 MXCSR=00001f80 FPR0=0000000000000000 0000 FPR1=0000000000000000 0000 FPR2=0000000000000000 0000 FPR3=0000000000000000 0000 FPR4=0000000000000000 0000 FPR5=0000000000000000 0000 FPR6=0000000000000000 0000 FPR7=0000000000000000 0000 YMM00=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM01=0000000000000000 0000000000000000 ffffffffffffff00 ff00000000000000 YMM02=0000000000000000 0000000000000000 ff0f0e0d0c0b0a09 0807060504030201 YMM03=0000000000000000 0000000000000000 0000000000000000 000033706f6f6c2f YMM04=0000000000000000 0000000000000000 2f2f2f2f2f2f2f2f 2f2f2f2f2f2f2f2f YMM05=0000000000000000 0000000000000000 00007fecf92608c0 00007fecf927e0a0 YMM06=0000000000000000 0000000000000000 00007fecf926dca0 0000000000000000 YMM07=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM08=0000000000000000 0000000000000000 2f63697361622f63 72732f2e2e000d0a YMM09=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM10=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM11=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM12=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM13=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM14=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM15=0000000000000000 0000000000000000 0000000000000000 0000000000000000 info registers vcpu 1 RAX=1ffff1100306740a RBX=ffff88801833a000 RCX=ffffc90011ded000 RDX=0000000000000000 RSI=ffffffff815de662 RDI=ffff88801833a054 RBP=0000000000000000 RSP=ffff88806335f128 R8 =0000000000000000 R9 =ffff88807ffdca7f R10=ffffffff815dd5f0 R11=0000000000000001 R12=0000000000000000 R13=0000000000000002 R14=ffff8880183423b8 R15=dffffc0000000000 RIP=ffffffff815de677 RFL=00000217 [----APC] CPL=0 II=0 A20=1 SMM=0 HLT=0 ES =0000 0000000000000000 00000000 00000000 CS =0010 0000000000000000 ffffffff 00a09b00 DPL=0 CS64 [-RA] SS =0018 0000000000000000 ffffffff 00c09300 DPL=0 DS [-WA] DS =0000 0000000000000000 00000000 00000000 FS =0000 00007f8780dbc700 00000000 00000000 GS =0000 ffff88806cf00000 00000000 00000000 LDT=0000 fffffe0000000000 00000000 00000000 TR =0040 fffffe000004a000 00004087 00008b00 DPL=0 TSS64-busy GDT= fffffe0000048000 0000007f IDT= fffffe0000000000 00000fff CR0=80050033 CR2=0000000000466533 CR3=000000004308a000 CR4=00350ee0 DR0=0000000000000000 DR1=0000000000000000 DR2=0000000000000000 DR3=0000000000000000 DR6=00000000ffff0ff0 DR7=0000000000000400 EFER=0000000000000d01 FCW=037f FSW=0000 [ST=0] FTW=00 MXCSR=00001fa0 FPR0=0000000000000000 0000 FPR1=0000000000000000 0000 FPR2=0000000000000000 0000 FPR3=0000000000000000 0000 FPR4=0000000000000000 0000 FPR5=0000000000000000 0000 FPR6=0000000000000000 0000 FPR7=0000000000000000 0000 YMM00=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM01=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM02=0000000000000000 0000000000000000 0000000000000000 411a224000000000 YMM03=0000000000000000 0000000000000000 0000ff0000000000 0000000000000000 YMM04=0000000000000000 0000000000000000 732f6c61636f6c2f 7273752f3d485441 YMM05=0000000000000000 0000000000000000 622f6c61636f6c2f 7273752f3a6e6962 YMM06=0000000000000000 0000000000000000 73752f3a6e696273 2f7273752f3a6e69 YMM07=0000000000000000 0000000000000000 6e69622f3a6e6962 732f3a6e69622f72 YMM08=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM09=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM10=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM11=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM12=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM13=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM14=0000000000000000 0000000000000000 0000000000000000 0000000000000000 YMM15=0000000000000000 0000000000000000 0000000000000000 0000000000000000