Warning: Permanently added '[localhost]:7336' (ECDSA) to the list of known hosts. 2023/10/29 08:10:24 fuzzer started 2023/10/29 08:10:24 dialing manager at localhost:39831 syzkaller login: [ 35.217269] cgroup: Unknown subsys name 'net' [ 35.218728] cgroup: Unknown subsys name 'net_prio' [ 35.220354] cgroup: Unknown subsys name 'devices' [ 35.221646] cgroup: Unknown subsys name 'blkio' [ 35.264848] cgroup: Unknown subsys name 'hugetlb' [ 35.267619] cgroup: Unknown subsys name 'rlimit' 2023/10/29 08:10:37 syscalls: 2215 2023/10/29 08:10:37 code coverage: enabled 2023/10/29 08:10:37 comparison tracing: enabled 2023/10/29 08:10:37 extra coverage: enabled 2023/10/29 08:10:37 setuid sandbox: enabled 2023/10/29 08:10:37 namespace sandbox: enabled 2023/10/29 08:10:37 Android sandbox: enabled 2023/10/29 08:10:37 fault injection: enabled 2023/10/29 08:10:37 leak checking: enabled 2023/10/29 08:10:37 net packet injection: enabled 2023/10/29 08:10:37 net device setup: enabled 2023/10/29 08:10:37 concurrency sanitizer: /sys/kernel/debug/kcsan does not exist 2023/10/29 08:10:37 devlink PCI setup: PCI device 0000:00:10.0 is not available 2023/10/29 08:10:37 USB emulation: enabled 2023/10/29 08:10:37 hci packet injection: enabled 2023/10/29 08:10:37 wifi device emulation: enabled 2023/10/29 08:10:37 802.15.4 emulation: enabled 2023/10/29 08:10:37 fetching corpus: 50, signal 22421/24273 (executing program) 2023/10/29 08:10:37 fetching corpus: 100, signal 43136/46629 (executing program) 2023/10/29 08:10:37 fetching corpus: 150, signal 56600/61643 (executing program) 2023/10/29 08:10:37 fetching corpus: 200, signal 68414/74891 (executing program) 2023/10/29 08:10:38 fetching corpus: 250, signal 80766/88596 (executing program) 2023/10/29 08:10:38 fetching corpus: 300, signal 87138/96341 (executing program) 2023/10/29 08:10:38 fetching corpus: 350, signal 94561/105017 (executing program) 2023/10/29 08:10:38 fetching corpus: 400, signal 100189/111900 (executing program) 2023/10/29 08:10:38 fetching corpus: 450, signal 104512/117496 (executing program) 2023/10/29 08:10:38 fetching corpus: 500, signal 108378/122633 (executing program) 2023/10/29 08:10:38 fetching corpus: 550, signal 114119/129445 (executing program) 2023/10/29 08:10:39 fetching corpus: 600, signal 118500/134968 (executing program) 2023/10/29 08:10:39 fetching corpus: 650, signal 124189/141627 (executing program) 2023/10/29 08:10:39 fetching corpus: 700, signal 128890/147312 (executing program) 2023/10/29 08:10:39 fetching corpus: 750, signal 131755/151337 (executing program) 2023/10/29 08:10:39 fetching corpus: 800, signal 134419/155127 (executing program) 2023/10/29 08:10:39 fetching corpus: 850, signal 138677/160310 (executing program) 2023/10/29 08:10:39 fetching corpus: 900, signal 140629/163370 (executing program) 2023/10/29 08:10:39 fetching corpus: 950, signal 143931/167556 (executing program) 2023/10/29 08:10:40 fetching corpus: 1000, signal 147014/171568 (executing program) 2023/10/29 08:10:40 fetching corpus: 1050, signal 150524/175895 (executing program) 2023/10/29 08:10:40 fetching corpus: 1100, signal 153233/179515 (executing program) 2023/10/29 08:10:40 fetching corpus: 1150, signal 155065/182293 (executing program) 2023/10/29 08:10:40 fetching corpus: 1200, signal 158119/186193 (executing program) 2023/10/29 08:10:40 fetching corpus: 1250, signal 160681/189615 (executing program) 2023/10/29 08:10:40 fetching corpus: 1300, signal 163016/192799 (executing program) 2023/10/29 08:10:41 fetching corpus: 1350, signal 165521/196045 (executing program) 2023/10/29 08:10:41 fetching corpus: 1400, signal 167503/198858 (executing program) 2023/10/29 08:10:41 fetching corpus: 1450, signal 169672/201835 (executing program) 2023/10/29 08:10:41 fetching corpus: 1500, signal 171797/204732 (executing program) 2023/10/29 08:10:41 fetching corpus: 1550, signal 173678/207425 (executing program) 2023/10/29 08:10:41 fetching corpus: 1600, signal 175916/210382 (executing program) 2023/10/29 08:10:41 fetching corpus: 1650, signal 177369/212676 (executing program) 2023/10/29 08:10:42 fetching corpus: 1700, signal 179137/215136 (executing program) 2023/10/29 08:10:42 fetching corpus: 1750, signal 181125/217825 (executing program) 2023/10/29 08:10:42 fetching corpus: 1800, signal 182764/220189 (executing program) 2023/10/29 08:10:42 fetching corpus: 1850, signal 184207/222423 (executing program) 2023/10/29 08:10:42 fetching corpus: 1900, signal 185697/224673 (executing program) 2023/10/29 08:10:42 fetching corpus: 1950, signal 187215/226935 (executing program) 2023/10/29 08:10:42 fetching corpus: 2000, signal 188647/229120 (executing program) 2023/10/29 08:10:42 fetching corpus: 2050, signal 189983/231203 (executing program) 2023/10/29 08:10:43 fetching corpus: 2100, signal 191922/233710 (executing program) 2023/10/29 08:10:43 fetching corpus: 2150, signal 193512/235954 (executing program) 2023/10/29 08:10:43 fetching corpus: 2200, signal 194700/237847 (executing program) 2023/10/29 08:10:43 fetching corpus: 2250, signal 195585/239527 (executing program) 2023/10/29 08:10:43 fetching corpus: 2300, signal 196981/241568 (executing program) 2023/10/29 08:10:43 fetching corpus: 2350, signal 198643/243810 (executing program) 2023/10/29 08:10:43 fetching corpus: 2400, signal 200004/245823 (executing program) 2023/10/29 08:10:44 fetching corpus: 2450, signal 201308/247762 (executing program) 2023/10/29 08:10:44 fetching corpus: 2500, signal 202217/249410 (executing program) 2023/10/29 08:10:44 fetching corpus: 2550, signal 203498/251309 (executing program) 2023/10/29 08:10:44 fetching corpus: 2600, signal 204484/252968 (executing program) 2023/10/29 08:10:44 fetching corpus: 2650, signal 206037/255093 (executing program) 2023/10/29 08:10:44 fetching corpus: 2700, signal 206783/256568 (executing program) 2023/10/29 08:10:44 fetching corpus: 2750, signal 208625/258789 (executing program) 2023/10/29 08:10:44 fetching corpus: 2800, signal 209505/260289 (executing program) 2023/10/29 08:10:45 fetching corpus: 2850, signal 210739/262110 (executing program) 2023/10/29 08:10:45 fetching corpus: 2900, signal 211966/263885 (executing program) 2023/10/29 08:10:45 fetching corpus: 2950, signal 213213/265592 (executing program) 2023/10/29 08:10:45 fetching corpus: 3000, signal 214477/267370 (executing program) 2023/10/29 08:10:45 fetching corpus: 3050, signal 215680/269074 (executing program) 2023/10/29 08:10:45 fetching corpus: 3100, signal 216480/270530 (executing program) 2023/10/29 08:10:45 fetching corpus: 3150, signal 218069/272469 (executing program) 2023/10/29 08:10:46 fetching corpus: 3200, signal 218959/273913 (executing program) 2023/10/29 08:10:46 fetching corpus: 3250, signal 219726/275310 (executing program) 2023/10/29 08:10:46 fetching corpus: 3300, signal 221061/277081 (executing program) 2023/10/29 08:10:46 fetching corpus: 3350, signal 222248/278682 (executing program) 2023/10/29 08:10:46 fetching corpus: 3400, signal 223570/280410 (executing program) 2023/10/29 08:10:46 fetching corpus: 3450, signal 224853/282068 (executing program) 2023/10/29 08:10:47 fetching corpus: 3500, signal 225683/283416 (executing program) 2023/10/29 08:10:47 fetching corpus: 3550, signal 226600/284833 (executing program) 2023/10/29 08:10:47 fetching corpus: 3600, signal 227618/286306 (executing program) 2023/10/29 08:10:47 fetching corpus: 3650, signal 228586/287729 (executing program) 2023/10/29 08:10:47 fetching corpus: 3700, signal 229500/289097 (executing program) 2023/10/29 08:10:47 fetching corpus: 3750, signal 230678/290595 (executing program) 2023/10/29 08:10:47 fetching corpus: 3800, signal 231602/291947 (executing program) 2023/10/29 08:10:48 fetching corpus: 3850, signal 232434/293172 (executing program) 2023/10/29 08:10:48 fetching corpus: 3900, signal 233271/294442 (executing program) 2023/10/29 08:10:48 fetching corpus: 3950, signal 234294/295784 (executing program) 2023/10/29 08:10:48 fetching corpus: 4000, signal 235111/297027 (executing program) 2023/10/29 08:10:48 fetching corpus: 4050, signal 235902/298222 (executing program) 2023/10/29 08:10:48 fetching corpus: 4100, signal 236847/299556 (executing program) 2023/10/29 08:10:49 fetching corpus: 4150, signal 237833/300853 (executing program) 2023/10/29 08:10:49 fetching corpus: 4200, signal 238939/302260 (executing program) 2023/10/29 08:10:49 fetching corpus: 4250, signal 239694/303462 (executing program) 2023/10/29 08:10:49 fetching corpus: 4300, signal 240682/304728 (executing program) 2023/10/29 08:10:49 fetching corpus: 4350, signal 241341/305836 (executing program) 2023/10/29 08:10:49 fetching corpus: 4400, signal 241936/306916 (executing program) 2023/10/29 08:10:49 fetching corpus: 4450, signal 242710/308040 (executing program) 2023/10/29 08:10:49 fetching corpus: 4500, signal 243864/309385 (executing program) 2023/10/29 08:10:50 fetching corpus: 4550, signal 244623/310452 (executing program) 2023/10/29 08:10:50 fetching corpus: 4600, signal 245508/311634 (executing program) 2023/10/29 08:10:50 fetching corpus: 4650, signal 246119/312685 (executing program) 2023/10/29 08:10:50 fetching corpus: 4700, signal 246888/313804 (executing program) 2023/10/29 08:10:50 fetching corpus: 4750, signal 247521/314873 (executing program) 2023/10/29 08:10:50 fetching corpus: 4800, signal 248300/315964 (executing program) 2023/10/29 08:10:50 fetching corpus: 4850, signal 249051/317049 (executing program) 2023/10/29 08:10:50 fetching corpus: 4900, signal 249881/318160 (executing program) 2023/10/29 08:10:51 fetching corpus: 4950, signal 250440/319142 (executing program) 2023/10/29 08:10:51 fetching corpus: 5000, signal 250942/320100 (executing program) 2023/10/29 08:10:51 fetching corpus: 5050, signal 251610/321111 (executing program) 2023/10/29 08:10:51 fetching corpus: 5100, signal 252126/322025 (executing program) 2023/10/29 08:10:51 fetching corpus: 5150, signal 252632/322948 (executing program) 2023/10/29 08:10:51 fetching corpus: 5200, signal 253233/323924 (executing program) 2023/10/29 08:10:52 fetching corpus: 5250, signal 253855/324874 (executing program) 2023/10/29 08:10:52 fetching corpus: 5300, signal 254582/325873 (executing program) 2023/10/29 08:10:52 fetching corpus: 5350, signal 255051/326763 (executing program) 2023/10/29 08:10:52 fetching corpus: 5400, signal 255666/327694 (executing program) 2023/10/29 08:10:52 fetching corpus: 5450, signal 256345/328683 (executing program) 2023/10/29 08:10:52 fetching corpus: 5500, signal 256874/329574 (executing program) 2023/10/29 08:10:52 fetching corpus: 5550, signal 257493/330508 (executing program) 2023/10/29 08:10:52 fetching corpus: 5600, signal 258128/331464 (executing program) 2023/10/29 08:10:53 fetching corpus: 5650, signal 258484/332290 (executing program) 2023/10/29 08:10:53 fetching corpus: 5700, signal 258885/333093 (executing program) 2023/10/29 08:10:53 fetching corpus: 5750, signal 259393/333964 (executing program) 2023/10/29 08:10:53 fetching corpus: 5800, signal 260031/334870 (executing program) 2023/10/29 08:10:53 fetching corpus: 5850, signal 260684/335754 (executing program) 2023/10/29 08:10:53 fetching corpus: 5900, signal 261223/336636 (executing program) 2023/10/29 08:10:53 fetching corpus: 5950, signal 261738/337509 (executing program) 2023/10/29 08:10:53 fetching corpus: 6000, signal 262364/338367 (executing program) 2023/10/29 08:10:54 fetching corpus: 6050, signal 263102/339285 (executing program) 2023/10/29 08:10:54 fetching corpus: 6100, signal 264243/340303 (executing program) 2023/10/29 08:10:54 fetching corpus: 6150, signal 264786/341164 (executing program) 2023/10/29 08:10:54 fetching corpus: 6200, signal 265286/341966 (executing program) 2023/10/29 08:10:54 fetching corpus: 6250, signal 265847/342814 (executing program) 2023/10/29 08:10:54 fetching corpus: 6300, signal 266466/343679 (executing program) 2023/10/29 08:10:54 fetching corpus: 6350, signal 267161/344518 (executing program) 2023/10/29 08:10:55 fetching corpus: 6400, signal 267551/345267 (executing program) 2023/10/29 08:10:55 fetching corpus: 6450, signal 268200/346113 (executing program) 2023/10/29 08:10:55 fetching corpus: 6500, signal 268919/346952 (executing program) 2023/10/29 08:10:55 fetching corpus: 6550, signal 269529/347749 (executing program) 2023/10/29 08:10:55 fetching corpus: 6600, signal 270061/348508 (executing program) 2023/10/29 08:10:55 fetching corpus: 6650, signal 270733/349309 (executing program) 2023/10/29 08:10:55 fetching corpus: 6700, signal 271229/350052 (executing program) 2023/10/29 08:10:56 fetching corpus: 6750, signal 271959/350871 (executing program) 2023/10/29 08:10:56 fetching corpus: 6800, signal 272443/351601 (executing program) 2023/10/29 08:10:56 fetching corpus: 6850, signal 273162/352387 (executing program) 2023/10/29 08:10:56 fetching corpus: 6900, signal 273588/353076 (executing program) 2023/10/29 08:10:56 fetching corpus: 6950, signal 274156/353814 (executing program) 2023/10/29 08:10:56 fetching corpus: 7000, signal 274602/354566 (executing program) 2023/10/29 08:10:56 fetching corpus: 7050, signal 275049/355278 (executing program) 2023/10/29 08:10:57 fetching corpus: 7100, signal 275585/355992 (executing program) 2023/10/29 08:10:57 fetching corpus: 7150, signal 276097/356691 (executing program) 2023/10/29 08:10:57 fetching corpus: 7200, signal 276417/357332 (executing program) 2023/10/29 08:10:57 fetching corpus: 7250, signal 276769/358010 (executing program) 2023/10/29 08:10:57 fetching corpus: 7300, signal 277626/358768 (executing program) 2023/10/29 08:10:57 fetching corpus: 7350, signal 278109/359447 (executing program) 2023/10/29 08:10:57 fetching corpus: 7400, signal 278529/360104 (executing program) 2023/10/29 08:10:58 fetching corpus: 7450, signal 279039/360786 (executing program) 2023/10/29 08:10:58 fetching corpus: 7500, signal 279453/361453 (executing program) 2023/10/29 08:10:58 fetching corpus: 7550, signal 280054/362102 (executing program) 2023/10/29 08:10:58 fetching corpus: 7600, signal 280511/362725 (executing program) 2023/10/29 08:10:58 fetching corpus: 7650, signal 281091/363358 (executing program) 2023/10/29 08:10:58 fetching corpus: 7700, signal 281432/363991 (executing program) 2023/10/29 08:10:58 fetching corpus: 7750, signal 282137/364663 (executing program) 2023/10/29 08:10:59 fetching corpus: 7800, signal 282652/365326 (executing program) 2023/10/29 08:10:59 fetching corpus: 7850, signal 283175/365952 (executing program) 2023/10/29 08:10:59 fetching corpus: 7900, signal 283740/366590 (executing program) 2023/10/29 08:10:59 fetching corpus: 7950, signal 284132/367175 (executing program) 2023/10/29 08:10:59 fetching corpus: 8000, signal 284668/367759 (executing program) 2023/10/29 08:10:59 fetching corpus: 8050, signal 285138/368358 (executing program) 2023/10/29 08:10:59 fetching corpus: 8100, signal 285540/368906 (executing program) 2023/10/29 08:10:59 fetching corpus: 8150, signal 285990/369460 (executing program) 2023/10/29 08:11:00 fetching corpus: 8200, signal 286408/370038 (executing program) 2023/10/29 08:11:00 fetching corpus: 8250, signal 286835/370615 (executing program) 2023/10/29 08:11:00 fetching corpus: 8300, signal 287497/371227 (executing program) 2023/10/29 08:11:00 fetching corpus: 8350, signal 287880/371770 (executing program) 2023/10/29 08:11:00 fetching corpus: 8400, signal 288202/372285 (executing program) 2023/10/29 08:11:00 fetching corpus: 8450, signal 288861/372823 (executing program) 2023/10/29 08:11:00 fetching corpus: 8500, signal 289186/373364 (executing program) 2023/10/29 08:11:01 fetching corpus: 8550, signal 289481/373914 (executing program) 2023/10/29 08:11:01 fetching corpus: 8600, signal 289997/374463 (executing program) 2023/10/29 08:11:01 fetching corpus: 8650, signal 290430/375015 (executing program) 2023/10/29 08:11:01 fetching corpus: 8700, signal 290865/375522 (executing program) 2023/10/29 08:11:01 fetching corpus: 8750, signal 291256/376055 (executing program) 2023/10/29 08:11:01 fetching corpus: 8800, signal 292077/376582 (executing program) 2023/10/29 08:11:02 fetching corpus: 8850, signal 292574/377089 (executing program) 2023/10/29 08:11:02 fetching corpus: 8900, signal 292899/377584 (executing program) 2023/10/29 08:11:02 fetching corpus: 8950, signal 293417/378116 (executing program) 2023/10/29 08:11:02 fetching corpus: 9000, signal 293802/378611 (executing program) 2023/10/29 08:11:02 fetching corpus: 9050, signal 294145/379057 (executing program) 2023/10/29 08:11:02 fetching corpus: 9100, signal 294541/379559 (executing program) 2023/10/29 08:11:02 fetching corpus: 9150, signal 296171/380115 (executing program) 2023/10/29 08:11:03 fetching corpus: 9200, signal 296559/380562 (executing program) 2023/10/29 08:11:03 fetching corpus: 9250, signal 296825/381026 (executing program) 2023/10/29 08:11:03 fetching corpus: 9300, signal 297302/381504 (executing program) 2023/10/29 08:11:03 fetching corpus: 9350, signal 297761/381954 (executing program) 2023/10/29 08:11:03 fetching corpus: 9400, signal 298024/382391 (executing program) 2023/10/29 08:11:03 fetching corpus: 9450, signal 298378/382872 (executing program) 2023/10/29 08:11:04 fetching corpus: 9500, signal 298808/383319 (executing program) 2023/10/29 08:11:04 fetching corpus: 9550, signal 299244/383787 (executing program) 2023/10/29 08:11:04 fetching corpus: 9600, signal 299680/384238 (executing program) 2023/10/29 08:11:04 fetching corpus: 9650, signal 300108/384271 (executing program) 2023/10/29 08:11:04 fetching corpus: 9700, signal 300523/384271 (executing program) 2023/10/29 08:11:05 fetching corpus: 9750, signal 301396/384272 (executing program) 2023/10/29 08:11:05 fetching corpus: 9800, signal 301741/384272 (executing program) 2023/10/29 08:11:05 fetching corpus: 9850, signal 302035/384272 (executing program) 2023/10/29 08:11:05 fetching corpus: 9900, signal 302396/384272 (executing program) 2023/10/29 08:11:05 fetching corpus: 9950, signal 302775/384272 (executing program) 2023/10/29 08:11:05 fetching corpus: 10000, signal 303204/384272 (executing program) 2023/10/29 08:11:05 fetching corpus: 10050, signal 303615/384272 (executing program) 2023/10/29 08:11:06 fetching corpus: 10100, signal 303971/384272 (executing program) 2023/10/29 08:11:06 fetching corpus: 10150, signal 304241/384272 (executing program) 2023/10/29 08:11:06 fetching corpus: 10200, signal 304569/384276 (executing program) 2023/10/29 08:11:06 fetching corpus: 10250, signal 304929/384276 (executing program) 2023/10/29 08:11:06 fetching corpus: 10300, signal 305327/384276 (executing program) 2023/10/29 08:11:06 fetching corpus: 10350, signal 305817/384276 (executing program) 2023/10/29 08:11:07 fetching corpus: 10400, signal 306283/384276 (executing program) 2023/10/29 08:11:07 fetching corpus: 10450, signal 306611/384276 (executing program) 2023/10/29 08:11:07 fetching corpus: 10500, signal 306861/384276 (executing program) 2023/10/29 08:11:07 fetching corpus: 10550, signal 307298/384276 (executing program) 2023/10/29 08:11:07 fetching corpus: 10600, signal 307637/384276 (executing program) 2023/10/29 08:11:07 fetching corpus: 10650, signal 308064/384276 (executing program) 2023/10/29 08:11:07 fetching corpus: 10700, signal 308439/384276 (executing program) 2023/10/29 08:11:08 fetching corpus: 10750, signal 308783/384276 (executing program) 2023/10/29 08:11:08 fetching corpus: 10800, signal 309138/384276 (executing program) 2023/10/29 08:11:08 fetching corpus: 10850, signal 309533/384276 (executing program) 2023/10/29 08:11:08 fetching corpus: 10900, signal 309947/384276 (executing program) 2023/10/29 08:11:08 fetching corpus: 10950, signal 310252/384276 (executing program) 2023/10/29 08:11:08 fetching corpus: 11000, signal 310625/384276 (executing program) 2023/10/29 08:11:08 fetching corpus: 11050, signal 311064/384276 (executing program) 2023/10/29 08:11:08 fetching corpus: 11100, signal 311297/384276 (executing program) 2023/10/29 08:11:09 fetching corpus: 11150, signal 311821/384276 (executing program) 2023/10/29 08:11:09 fetching corpus: 11200, signal 312145/384276 (executing program) 2023/10/29 08:11:09 fetching corpus: 11250, signal 312514/384276 (executing program) 2023/10/29 08:11:09 fetching corpus: 11300, signal 312820/384276 (executing program) 2023/10/29 08:11:09 fetching corpus: 11350, signal 313243/384276 (executing program) 2023/10/29 08:11:10 fetching corpus: 11400, signal 313513/384276 (executing program) 2023/10/29 08:11:10 fetching corpus: 11450, signal 313892/384276 (executing program) 2023/10/29 08:11:10 fetching corpus: 11500, signal 314180/384276 (executing program) 2023/10/29 08:11:10 fetching corpus: 11550, signal 314506/384276 (executing program) 2023/10/29 08:11:10 fetching corpus: 11600, signal 314843/384276 (executing program) 2023/10/29 08:11:10 fetching corpus: 11650, signal 315299/384276 (executing program) 2023/10/29 08:11:11 fetching corpus: 11700, signal 315677/384276 (executing program) 2023/10/29 08:11:11 fetching corpus: 11750, signal 316093/384276 (executing program) 2023/10/29 08:11:11 fetching corpus: 11800, signal 316394/384276 (executing program) 2023/10/29 08:11:11 fetching corpus: 11850, signal 316625/384276 (executing program) 2023/10/29 08:11:11 fetching corpus: 11900, signal 316965/384276 (executing program) 2023/10/29 08:11:11 fetching corpus: 11950, signal 317166/384288 (executing program) 2023/10/29 08:11:11 fetching corpus: 12000, signal 317480/384288 (executing program) 2023/10/29 08:11:11 fetching corpus: 12050, signal 317874/384288 (executing program) 2023/10/29 08:11:12 fetching corpus: 12100, signal 318222/384288 (executing program) 2023/10/29 08:11:12 fetching corpus: 12150, signal 318473/384288 (executing program) 2023/10/29 08:11:12 fetching corpus: 12200, signal 318700/384288 (executing program) 2023/10/29 08:11:12 fetching corpus: 12250, signal 318970/384288 (executing program) 2023/10/29 08:11:12 fetching corpus: 12300, signal 319225/384288 (executing program) 2023/10/29 08:11:12 fetching corpus: 12350, signal 319515/384288 (executing program) 2023/10/29 08:11:12 fetching corpus: 12400, signal 319875/384288 (executing program) 2023/10/29 08:11:12 fetching corpus: 12450, signal 320055/384288 (executing program) 2023/10/29 08:11:13 fetching corpus: 12500, signal 320330/384288 (executing program) 2023/10/29 08:11:13 fetching corpus: 12550, signal 320580/384288 (executing program) 2023/10/29 08:11:13 fetching corpus: 12600, signal 320917/384288 (executing program) 2023/10/29 08:11:13 fetching corpus: 12650, signal 321159/384288 (executing program) 2023/10/29 08:11:13 fetching corpus: 12700, signal 321483/384288 (executing program) 2023/10/29 08:11:13 fetching corpus: 12750, signal 321829/384288 (executing program) 2023/10/29 08:11:13 fetching corpus: 12800, signal 322139/384288 (executing program) 2023/10/29 08:11:14 fetching corpus: 12850, signal 322411/384288 (executing program) 2023/10/29 08:11:14 fetching corpus: 12900, signal 322704/384288 (executing program) 2023/10/29 08:11:14 fetching corpus: 12950, signal 322997/384297 (executing program) 2023/10/29 08:11:14 fetching corpus: 13000, signal 323376/384297 (executing program) 2023/10/29 08:11:14 fetching corpus: 13050, signal 323649/384297 (executing program) 2023/10/29 08:11:14 fetching corpus: 13100, signal 323908/384297 (executing program) 2023/10/29 08:11:14 fetching corpus: 13150, signal 324255/384297 (executing program) 2023/10/29 08:11:15 fetching corpus: 13200, signal 324546/384297 (executing program) 2023/10/29 08:11:15 fetching corpus: 13250, signal 324837/384297 (executing program) 2023/10/29 08:11:15 fetching corpus: 13300, signal 325149/384297 (executing program) 2023/10/29 08:11:15 fetching corpus: 13350, signal 325358/384297 (executing program) 2023/10/29 08:11:15 fetching corpus: 13400, signal 325570/384297 (executing program) 2023/10/29 08:11:15 fetching corpus: 13450, signal 325949/384297 (executing program) 2023/10/29 08:11:15 fetching corpus: 13500, signal 326214/384297 (executing program) 2023/10/29 08:11:16 fetching corpus: 13550, signal 326738/384297 (executing program) 2023/10/29 08:11:16 fetching corpus: 13600, signal 326994/384297 (executing program) 2023/10/29 08:11:16 fetching corpus: 13650, signal 327333/384297 (executing program) 2023/10/29 08:11:16 fetching corpus: 13700, signal 327587/384297 (executing program) 2023/10/29 08:11:16 fetching corpus: 13750, signal 327879/384297 (executing program) 2023/10/29 08:11:16 fetching corpus: 13800, signal 328232/384297 (executing program) 2023/10/29 08:11:16 fetching corpus: 13850, signal 328525/384297 (executing program) 2023/10/29 08:11:17 fetching corpus: 13900, signal 328726/384297 (executing program) 2023/10/29 08:11:17 fetching corpus: 13950, signal 329014/384297 (executing program) 2023/10/29 08:11:17 fetching corpus: 14000, signal 329272/384298 (executing program) 2023/10/29 08:11:17 fetching corpus: 14050, signal 329535/384298 (executing program) 2023/10/29 08:11:17 fetching corpus: 14100, signal 329845/384298 (executing program) 2023/10/29 08:11:17 fetching corpus: 14150, signal 330086/384298 (executing program) 2023/10/29 08:11:17 fetching corpus: 14200, signal 330346/384298 (executing program) 2023/10/29 08:11:17 fetching corpus: 14250, signal 330634/384298 (executing program) 2023/10/29 08:11:18 fetching corpus: 14300, signal 330879/384298 (executing program) 2023/10/29 08:11:18 fetching corpus: 14350, signal 331175/384298 (executing program) 2023/10/29 08:11:18 fetching corpus: 14400, signal 331507/384298 (executing program) 2023/10/29 08:11:18 fetching corpus: 14450, signal 331715/384298 (executing program) 2023/10/29 08:11:18 fetching corpus: 14500, signal 332096/384298 (executing program) 2023/10/29 08:11:18 fetching corpus: 14550, signal 332301/384298 (executing program) 2023/10/29 08:11:18 fetching corpus: 14600, signal 332516/384298 (executing program) 2023/10/29 08:11:19 fetching corpus: 14650, signal 332791/384298 (executing program) 2023/10/29 08:11:19 fetching corpus: 14700, signal 333035/384298 (executing program) 2023/10/29 08:11:19 fetching corpus: 14750, signal 333310/384298 (executing program) 2023/10/29 08:11:19 fetching corpus: 14800, signal 333586/384298 (executing program) 2023/10/29 08:11:19 fetching corpus: 14850, signal 333874/384298 (executing program) 2023/10/29 08:11:19 fetching corpus: 14900, signal 334169/384298 (executing program) 2023/10/29 08:11:19 fetching corpus: 14950, signal 334424/384298 (executing program) 2023/10/29 08:11:19 fetching corpus: 15000, signal 334705/384298 (executing program) 2023/10/29 08:11:20 fetching corpus: 15050, signal 334994/384298 (executing program) 2023/10/29 08:11:20 fetching corpus: 15100, signal 335193/384298 (executing program) 2023/10/29 08:11:20 fetching corpus: 15150, signal 335537/384298 (executing program) 2023/10/29 08:11:20 fetching corpus: 15200, signal 335801/384298 (executing program) 2023/10/29 08:11:20 fetching corpus: 15250, signal 336010/384298 (executing program) 2023/10/29 08:11:20 fetching corpus: 15300, signal 336272/384298 (executing program) 2023/10/29 08:11:20 fetching corpus: 15350, signal 336454/384298 (executing program) 2023/10/29 08:11:20 fetching corpus: 15400, signal 336693/384298 (executing program) 2023/10/29 08:11:21 fetching corpus: 15450, signal 336962/384298 (executing program) 2023/10/29 08:11:21 fetching corpus: 15500, signal 337135/384298 (executing program) 2023/10/29 08:11:21 fetching corpus: 15550, signal 337492/384298 (executing program) 2023/10/29 08:11:21 fetching corpus: 15600, signal 337745/384298 (executing program) 2023/10/29 08:11:21 fetching corpus: 15650, signal 338018/384298 (executing program) 2023/10/29 08:11:21 fetching corpus: 15700, signal 338265/384298 (executing program) 2023/10/29 08:11:21 fetching corpus: 15750, signal 338537/384298 (executing program) 2023/10/29 08:11:22 fetching corpus: 15800, signal 338783/384298 (executing program) 2023/10/29 08:11:22 fetching corpus: 15850, signal 339085/384298 (executing program) 2023/10/29 08:11:22 fetching corpus: 15900, signal 339315/384298 (executing program) 2023/10/29 08:11:22 fetching corpus: 15950, signal 339549/384298 (executing program) 2023/10/29 08:11:22 fetching corpus: 16000, signal 339780/384298 (executing program) 2023/10/29 08:11:22 fetching corpus: 16050, signal 340074/384298 (executing program) 2023/10/29 08:11:22 fetching corpus: 16100, signal 340356/384298 (executing program) 2023/10/29 08:11:22 fetching corpus: 16150, signal 340565/384298 (executing program) 2023/10/29 08:11:23 fetching corpus: 16200, signal 340772/384298 (executing program) 2023/10/29 08:11:23 fetching corpus: 16250, signal 341039/384298 (executing program) 2023/10/29 08:11:23 fetching corpus: 16300, signal 341360/384298 (executing program) 2023/10/29 08:11:23 fetching corpus: 16350, signal 341507/384300 (executing program) 2023/10/29 08:11:23 fetching corpus: 16400, signal 341673/384300 (executing program) 2023/10/29 08:11:23 fetching corpus: 16450, signal 341925/384300 (executing program) 2023/10/29 08:11:24 fetching corpus: 16500, signal 342119/384300 (executing program) 2023/10/29 08:11:24 fetching corpus: 16550, signal 342358/384300 (executing program) 2023/10/29 08:11:24 fetching corpus: 16600, signal 342596/384300 (executing program) 2023/10/29 08:11:24 fetching corpus: 16650, signal 342844/384300 (executing program) 2023/10/29 08:11:24 fetching corpus: 16700, signal 343075/384300 (executing program) 2023/10/29 08:11:24 fetching corpus: 16750, signal 343359/384300 (executing program) 2023/10/29 08:11:24 fetching corpus: 16800, signal 343592/384300 (executing program) 2023/10/29 08:11:25 fetching corpus: 16850, signal 343804/384300 (executing program) 2023/10/29 08:11:25 fetching corpus: 16900, signal 344145/384319 (executing program) 2023/10/29 08:11:25 fetching corpus: 16950, signal 344396/384319 (executing program) 2023/10/29 08:11:25 fetching corpus: 17000, signal 344607/384319 (executing program) 2023/10/29 08:11:25 fetching corpus: 17050, signal 344879/384319 (executing program) 2023/10/29 08:11:25 fetching corpus: 17100, signal 345175/384319 (executing program) 2023/10/29 08:11:25 fetching corpus: 17150, signal 345386/384319 (executing program) 2023/10/29 08:11:25 fetching corpus: 17200, signal 345627/384319 (executing program) 2023/10/29 08:11:26 fetching corpus: 17250, signal 345874/384319 (executing program) 2023/10/29 08:11:26 fetching corpus: 17300, signal 346199/384319 (executing program) 2023/10/29 08:11:26 fetching corpus: 17350, signal 346380/384319 (executing program) 2023/10/29 08:11:26 fetching corpus: 17400, signal 346549/384319 (executing program) 2023/10/29 08:11:26 fetching corpus: 17450, signal 346702/384319 (executing program) 2023/10/29 08:11:27 fetching corpus: 17500, signal 346928/384319 (executing program) 2023/10/29 08:11:27 fetching corpus: 17550, signal 347124/384319 (executing program) 2023/10/29 08:11:27 fetching corpus: 17600, signal 347337/384322 (executing program) 2023/10/29 08:11:27 fetching corpus: 17650, signal 347520/384322 (executing program) 2023/10/29 08:11:27 fetching corpus: 17700, signal 347752/384322 (executing program) 2023/10/29 08:11:27 fetching corpus: 17750, signal 347882/384322 (executing program) 2023/10/29 08:11:27 fetching corpus: 17800, signal 348054/384322 (executing program) 2023/10/29 08:11:27 fetching corpus: 17850, signal 348267/384322 (executing program) 2023/10/29 08:11:28 fetching corpus: 17900, signal 348450/384322 (executing program) 2023/10/29 08:11:28 fetching corpus: 17950, signal 348692/384322 (executing program) 2023/10/29 08:11:28 fetching corpus: 18000, signal 348891/384322 (executing program) 2023/10/29 08:11:28 fetching corpus: 18050, signal 349100/384322 (executing program) 2023/10/29 08:11:28 fetching corpus: 18100, signal 349277/384322 (executing program) 2023/10/29 08:11:28 fetching corpus: 18150, signal 349602/384322 (executing program) 2023/10/29 08:11:28 fetching corpus: 18200, signal 349814/384322 (executing program) 2023/10/29 08:11:29 fetching corpus: 18250, signal 350013/384322 (executing program) 2023/10/29 08:11:29 fetching corpus: 18300, signal 350215/384322 (executing program) 2023/10/29 08:11:29 fetching corpus: 18350, signal 350400/384322 (executing program) 2023/10/29 08:11:29 fetching corpus: 18400, signal 350599/384322 (executing program) 2023/10/29 08:11:29 fetching corpus: 18450, signal 350853/384322 (executing program) 2023/10/29 08:11:29 fetching corpus: 18500, signal 351057/384322 (executing program) 2023/10/29 08:11:29 fetching corpus: 18550, signal 351257/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 18600, signal 351444/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 18650, signal 351629/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 18700, signal 351787/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 18750, signal 351952/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 18800, signal 352178/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 18850, signal 352440/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 18900, signal 352642/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 18950, signal 352799/384322 (executing program) 2023/10/29 08:11:30 fetching corpus: 19000, signal 353024/384322 (executing program) 2023/10/29 08:11:31 fetching corpus: 19050, signal 353170/384322 (executing program) 2023/10/29 08:11:31 fetching corpus: 19050, signal 353170/384322 (executing program) 2023/10/29 08:11:33 starting 8 fuzzer processes 08:11:33 executing program 1: sendmsg$NL80211_CMD_SET_MCAST_RATE(0xffffffffffffffff, &(0x7f0000000100)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f00000000c0)={&(0x7f0000000040)={0x4c, 0x0, 0x10, 0x70bd2d, 0x25dfdbff, {{}, {@val={0x8}, @void}}, [@NL80211_ATTR_MCAST_RATE={0x8, 0x6b, 0xb4}, @NL80211_ATTR_MCAST_RATE={0x8, 0x6b, 0x168}, @NL80211_ATTR_MCAST_RATE={0x8, 0x6b, 0xb4}, @NL80211_ATTR_MCAST_RATE={0x8, 0x6b, 0x168}, @NL80211_ATTR_MCAST_RATE={0x8, 0x6b, 0x14}, @NL80211_ATTR_MCAST_RATE={0x8, 0x6b, 0x2ab}]}, 0x4c}}, 0x8840) sendmsg$BATADV_CMD_GET_TRANSTABLE_LOCAL(0xffffffffffffffff, &(0x7f0000000240)={&(0x7f0000000140)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000000200)={&(0x7f0000000180)={0x64, 0x0, 0x200, 0x70bd28, 0x25dfdbfe, {}, [@BATADV_ATTR_FRAGMENTATION_ENABLED={0x5}, @BATADV_ATTR_VLANID={0x6}, @BATADV_ATTR_DISTRIBUTED_ARP_TABLE_ENABLED={0x5, 0x2f, 0x1}, @BATADV_ATTR_HARD_IFINDEX={0x8}, @BATADV_ATTR_HARD_IFINDEX={0x8}, @BATADV_ATTR_GW_SEL_CLASS={0x8, 0x34, 0x6}, @BATADV_ATTR_FRAGMENTATION_ENABLED={0x5}, @BATADV_ATTR_GW_MODE={0x5, 0x33, 0x1}, @BATADV_ATTR_ISOLATION_MARK={0x8, 0x2b, 0x2}, @BATADV_ATTR_MULTICAST_FORCEFLOOD_ENABLED={0x5}]}, 0x64}, 0x1, 0x0, 0x0, 0x44010}, 0x40000000) r0 = accept4(0xffffffffffffffff, &(0x7f0000000280)=@x25={0x9, @remote}, &(0x7f0000000300)=0x80, 0x80000) sendmsg$NL80211_CMD_JOIN_IBSS(r0, &(0x7f0000000440)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0xa000}, 0xc, &(0x7f0000000400)={&(0x7f0000000380)={0x70, 0x0, 0x1, 0x70bd25, 0x25dfdbfd, {{}, {@val={0x8}, @void}}, [@NL80211_ATTR_FREQ_FIXED={0x4}, @NL80211_ATTR_PRIVACY={0x4}, @NL80211_ATTR_KEYS={0x2c, 0x51, 0x0, 0x1, [{0x14, 0x0, 0x0, 0x1, [@NL80211_KEY_TYPE={0x8, 0x7, 0x2}, @NL80211_KEY_MODE={0x5}]}, {0x14, 0x0, 0x0, 0x1, [@NL80211_KEY_DEFAULT_MGMT={0x4}, @NL80211_KEY_DEFAULT_TYPES={0x8, 0x8, 0x0, 0x1, [@NL80211_KEY_DEFAULT_TYPE_UNICAST={0x4}]}, @NL80211_KEY_DEFAULT={0x4}]}]}, @NL80211_ATTR_HT_CAPABILITY={0x1e, 0x1f, {0x1, 0x0, 0x1, 0x0, {0x0, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, 0x2, 0x1}, 0x1, 0x5, 0x2}}]}, 0x70}, 0x1, 0x0, 0x0, 0x4800}, 0x240440d4) socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$AUTOFS_DEV_IOCTL_VERSION(r0, 0xc0189371, &(0x7f0000000480)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) sendmsg$NL80211_CMD_JOIN_IBSS(r1, &(0x7f0000000680)={&(0x7f00000004c0)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f0000000640)={&(0x7f0000000500)={0x138, 0x0, 0x100, 0x70bd2d, 0x25dfdbfd, {{}, {@void, @val={0xc, 0x99, {0xffffffff, 0x57}}}}, [@NL80211_ATTR_CONTROL_PORT_OVER_NL80211={0x4}, @NL80211_ATTR_KEYS={0x40, 0x51, 0x0, 0x1, [{0x10, 0x0, 0x0, 0x1, [@NL80211_KEY_SEQ={0x8, 0x4, "0cb341a2"}, @NL80211_KEY_DEFAULT_MGMT={0x4}]}, {0x14, 0x0, 0x0, 0x1, [@NL80211_KEY_IDX={0x5, 0x2, 0x2}, @NL80211_KEY_TYPE={0x8, 0x7, 0x1}]}, {0x18, 0x0, 0x0, 0x1, [@NL80211_KEY_DATA_WEP104={0x11, 0x1, "b90676940ece7eeb800ee65637"}]}]}, @NL80211_ATTR_CONTROL_PORT={0x4}, @NL80211_ATTR_CONTROL_PORT={0x4}, @NL80211_ATTR_MAC={0xa}, @NL80211_ATTR_IE={0x9a, 0x2a, [@preq={0x82, 0x57, @ext={{0x1, 0x1}, 0x4, 0x7b, 0x0, @broadcast, 0x3adddc0c, @device_a, 0x4, 0x0, 0x5, [{{0x1}, @device_a, 0x1ff}, {{0x1, 0x0, 0x1}, @device_b, 0x3}, {{0x0, 0x0, 0x1}, @device_a, 0x2}, {{0x1, 0x0, 0x1}, @device_b, 0x5}, {{0x1}, @broadcast, 0xffffffa2}]}}, @mesh_id={0x72, 0x6}, @ht={0x2d, 0x1a, {0x1, 0x1, 0x3, 0x0, {0x895, 0x7, 0x0, 0xff, 0x0, 0x1, 0x1, 0x3, 0x1}, 0x400, 0x401, 0x1f}}, @sec_chan_ofs={0x3e, 0x1, 0x2}, @peer_mgmt={0x75, 0x14, {0x0, 0xa35, @void, @void, @val="98a7b1892278549f4117a136cd0ab3a2"}}]}, @NL80211_ATTR_BSS_BASIC_RATES={0x4}, @NL80211_ATTR_HT_CAPABILITY={0x1e, 0x1f, {0x80, 0x1, 0x2, 0x0, {0x8000, 0x2, 0x0, 0x1, 0x0, 0x1, 0x0, 0x2, 0x1}, 0x800, 0x8, 0x1}}]}, 0x138}, 0x1, 0x0, 0x0, 0x94}, 0x0) r2 = mmap$IORING_OFF_CQ_RING(&(0x7f0000ffd000/0x1000)=nil, 0x1000, 0x3000004, 0x100010, r1, 0x8000000) r3 = syz_io_uring_setup(0x553a, &(0x7f00000006c0)={0x0, 0x63c0, 0x10, 0x0, 0x3c4, 0x0, r1}, &(0x7f0000ffc000/0x3000)=nil, &(0x7f0000ffd000/0x3000)=nil, &(0x7f0000000740), &(0x7f0000000780)=0x0) r5 = io_uring_register$IORING_REGISTER_PERSONALITY(r1, 0x9, 0x0, 0x0) syz_io_uring_submit(r2, r4, &(0x7f0000000800)=@IORING_OP_TIMEOUT={0xb, 0x3, 0x0, 0x0, 0x1, &(0x7f00000007c0), 0x1, 0x0, 0x1, {0x0, r5}}, 0x3) syz_io_uring_submit(r2, 0x0, &(0x7f0000000840)=@IORING_OP_MADVISE={0x19, 0x0, 0x0, 0x0, 0x0, &(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x11, 0x1}, 0x6) r6 = syz_genetlink_get_family_id$tipc2(&(0x7f00000008c0), r0) sendmsg$TIPC_NL_MON_PEER_GET(0xffffffffffffffff, &(0x7f0000000980)={&(0x7f0000000880)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000000940)={&(0x7f0000000900)={0x20, r6, 0x100, 0x70bd2a, 0x25dfdbfc, {}, [@TIPC_NLA_MON={0xc, 0x9, 0x0, 0x1, [@TIPC_NLA_MON_REF={0x8, 0x2, 0xb93}]}]}, 0x20}}, 0x84) ioctl$sock_SIOCADDDLCI(0xffffffffffffffff, 0x8980, &(0x7f00000009c0)={'ipvlan0\x00', 0xffff}) ioctl$AUTOFS_DEV_IOCTL_EXPIRE(r1, 0xc018937c, &(0x7f0000000ac0)={{0x1, 0x1, 0x18, r0, {0x5}}, './file0\x00'}) getsockopt$IP_VS_SO_GET_TIMEOUT(r7, 0x0, 0x486, &(0x7f0000000b00), &(0x7f0000000b40)=0xc) io_uring_enter(r3, 0x408a, 0xa26, 0x1, &(0x7f0000000b80)={[0x7ff]}, 0x8) r8 = openat$full(0xffffffffffffff9c, &(0x7f0000000bc0), 0x800, 0x0) sendmsg$NL80211_CMD_JOIN_IBSS(r8, &(0x7f0000000cc0)={&(0x7f0000000c00)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000c80)={&(0x7f0000000c40)={0x20, 0x0, 0x100, 0x70bd29, 0x25dfdbfd, {{}, {@void, @void}}, [@NL80211_ATTR_BEACON_INTERVAL={0x8}, @NL80211_ATTR_FREQ_FIXED={0x4}]}, 0x20}, 0x1, 0x0, 0x0, 0x8000}, 0x0) 08:11:33 executing program 4: r0 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000000), 0x241, 0x0) r1 = openat$sr(0xffffffffffffff9c, &(0x7f0000000040), 0x20000, 0x0) fsync(r1) r2 = syz_open_dev$vcsa(&(0x7f0000000080), 0x4, 0x541000) ioctl$F2FS_IOC_START_ATOMIC_WRITE(r2, 0xf501, 0x0) r3 = openat$binderfs(0xffffffffffffff9c, &(0x7f00000000c0)='./binderfs/custom0\x00', 0x0, 0x0) ioctl$LOOP_SET_FD(r2, 0x4c00, r3) r4 = perf_event_open(&(0x7f0000000140)={0x5, 0x80, 0x7, 0x2, 0x8, 0x33, 0x0, 0x0, 0x4080, 0x5, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, 0x1, 0x1, 0x1, 0x0, 0x1, 0x4, @perf_bp={&(0x7f0000000100), 0x1}, 0x10200, 0x8, 0x7ff, 0x0, 0x1, 0x400, 0x100, 0x0, 0x69, 0x0, 0x200}, 0x0, 0x2, r1, 0x1) splice(0xffffffffffffffff, &(0x7f00000001c0)=0xdd, r0, &(0x7f0000000200)=0xc27e, 0x100000000, 0xa) r5 = syz_open_dev$vcsa(&(0x7f0000000240), 0x1, 0x2000) ioctl$SNDRV_SEQ_IOCTL_QUERY_NEXT_PORT(r5, 0xc0a85352, &(0x7f0000000280)={{0x0, 0x3f}, 'port1\x00', 0x48, 0x2104e, 0x5, 0x7fff, 0x230f, 0x5, 0x800, 0x0, 0x1, 0xf7}) ioctl$AUTOFS_DEV_IOCTL_SETPIPEFD(r2, 0xc0189378, &(0x7f0000000340)={{0x1, 0x1, 0x18, r0, {r0}}, './file0\x00'}) ioctl$AUTOFS_DEV_IOCTL_READY(r6, 0xc0189376, &(0x7f0000000380)={{0x1, 0x1, 0x18, r1, {0x1}}, './file0\x00'}) ioctl$FIGETBSZ(r0, 0x2, &(0x7f00000003c0)) fsmount(r6, 0x0, 0x3) r7 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000400), 0x400000, 0x0) io_uring_register$IORING_REGISTER_FILES_UPDATE(r2, 0x6, &(0x7f0000000480)={0x7, 0x0, &(0x7f0000000440)=[r0, r1, r7, r4]}, 0x4) ioctl$sock_inet_SIOCSIFDSTADDR(r2, 0x8918, &(0x7f00000004c0)={'nr0\x00', {0x2, 0x0, @multicast2}}) r8 = syz_mount_image$nfs4(&(0x7f0000000500), &(0x7f0000000540)='./file0\x00', 0xff, 0x2, &(0x7f0000000680)=[{&(0x7f0000000580)="63f9094af347ce9172a35d00842eb18d05265ce4eef155e93e76dc759c404fa99bbd9fbeab20c88a7c3ef04b30641ab5abf326ba6478f565d58235462e9c85d9", 0x40, 0x8}, {&(0x7f00000005c0)="776233bbadea7f167c6b2deb86c5d902dfaf9d619549aa4169b64a9e5d3dff470dfe3a08ca6713292beb0b14ce8a2bf219758849de4177f4e57cc3143a8161bdddb4b12b8baec9e69a49d3e4b0abc44b9ac71dd5e9f4a51b41df20dafaf7cd0f38bdf94e2a27e62a1cc70c06cac0eae90f4c3a0c339777afefb1e06d17efb1448ff6b7dfae4ba1655b14589601092cbbae", 0x91, 0x4}], 0x10008, &(0x7f00000006c0)={[{'/dev/sr0\x00'}, {'^'}, {'/dev/sr0\x00'}, {'\x00'}], [{@smackfstransmute={'smackfstransmute', 0x3d, '/dev/nvram\x00'}}, {@fowner_eq={'fowner', 0x3d, 0xee01}}, {@fscontext={'fscontext', 0x3d, 'user_u'}}, {@smackfsfloor={'smackfsfloor', 0x3d, ']\x85'}}, {@fsname={'fsname', 0x3d, 'nr0\x00'}}]}) ioctl$BTRFS_IOC_SYNC(r8, 0x9408, 0x0) 08:11:33 executing program 2: bind$bt_sco(0xffffffffffffffff, &(0x7f0000000000)={0x1f, @none}, 0x8) ioctl$sock_SIOCGIFVLAN_ADD_VLAN_CMD(0xffffffffffffffff, 0x8982, &(0x7f0000000040)={0x0, 'veth1_to_bridge\x00', {}, 0x4}) sendfile(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000000080)=0x80000001, 0x100000000) fsetxattr$trusted_overlay_redirect(0xffffffffffffffff, &(0x7f00000000c0), &(0x7f0000000100)='./file0\x00', 0x8, 0x2) setsockopt$sock_void(0xffffffffffffffff, 0x1, 0x24, 0x0, 0x0) listen(0xffffffffffffffff, 0x3) r0 = dup2(0xffffffffffffffff, 0xffffffffffffffff) fcntl$F_SET_RW_HINT(r0, 0x40c, &(0x7f0000000140)=0x1) ioctl$BTRFS_IOC_SUBVOL_SETFLAGS(r0, 0x4008941a, &(0x7f0000000180)) r1 = socket$nl_audit(0x10, 0x3, 0x9) getsockopt$SO_TIMESTAMP(r1, 0x1, 0x40, &(0x7f0000000280), &(0x7f00000002c0)=0x4) ioctl$FS_IOC_GETFLAGS(r0, 0x80086601, &(0x7f0000000300)) r2 = dup3(r0, r1, 0x80000) ioctl$F2FS_IOC_GET_FEATURES(r2, 0x8004f50c, &(0x7f0000000340)) recvmsg$unix(r2, &(0x7f0000000740)={&(0x7f0000000380), 0x6e, &(0x7f0000000640)=[{&(0x7f0000000400)=""/179, 0xb3}, {&(0x7f00000004c0)=""/192, 0xc0}, {&(0x7f0000000580)=""/163, 0xa3}], 0x3, &(0x7f0000000680)=[@cred={{0x1c}}, @cred={{0x1c}}, @cred={{0x1c}}, @rights={{0x14, 0x1, 0x1, [0xffffffffffffffff]}}, @cred={{0x1c}}, @cred={{0x1c}}], 0xb8}, 0x1) ioctl$TCSETSW(r3, 0x5403, &(0x7f0000000780)={0x6, 0xffffffff, 0x8, 0x1c000000, 0x11, "aa448a967d4a0aa44d66eca01556d30dc75202"}) r4 = mmap$IORING_OFF_CQ_RING(&(0x7f0000ff9000/0x4000)=nil, 0x4000, 0x2000000, 0x10010, r2, 0x8000000) syz_io_uring_submit(r4, 0x0, &(0x7f00000007c0)=@IORING_OP_NOP={0x0, 0x4}, 0x80000000) getsockopt(r1, 0x1, 0x3, &(0x7f0000000800)=""/175, &(0x7f00000008c0)=0xaf) setsockopt$netlink_NETLINK_LISTEN_ALL_NSID(r1, 0x10e, 0x8, &(0x7f0000000900)=0x5164, 0x4) 08:11:33 executing program 0: r0 = dup2(0xffffffffffffffff, 0xffffffffffffffff) sendmsg$TIPC_CMD_GET_LINKS(r0, &(0x7f00000000c0)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x80000000}, 0xc, &(0x7f0000000080)={&(0x7f0000000040)={0x24, 0x0, 0x4, 0x70bd2b, 0x25dfdbfe, {{}, {}, {0x8, 0x11, 0x3}}, ["", "", "", "", ""]}, 0x24}, 0x1, 0x0, 0x0, 0x15}, 0x7f1fcbfe6ebd5350) ioctl$SG_GET_NUM_WAITING(r0, 0x227d, &(0x7f0000000100)) ioctl$BTRFS_IOC_QGROUP_LIMIT(0xffffffffffffffff, 0x8030942b, &(0x7f0000000140)={0xfffffffffffffff8, {0xb, 0x1, 0xcecd, 0x100000000, 0x7bb8}}) r1 = openat$hwrng(0xffffffffffffff9c, &(0x7f0000000180), 0x220000, 0x0) sendmsg$nl_generic(r1, &(0x7f0000000340)={&(0x7f00000001c0)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000300)={&(0x7f0000000200)={0xf0, 0x17, 0x400, 0x70bd2d, 0x2, {0xd}, [@generic="e4ea300fd3ed8942cfcd87deb6ea71da7e285fcd209ebb7e77fe1ea5e47b5ee006c9cbad94a0a0ccbc68ad3b305beeb3edc1d0710942bbd98cd471b2068cc3c4b8de0990e3fcd2b615aa963d8a5c71653738cbb62443b415bc7638b2f60f6a474fcdbf5209b643c2a0877cfb460117b3cb77ee0c8d7e8b81a4ac3ef1531808b5b46208a1143090034dcae60088d7282679a20fbee5e707dbfb8b2352f36bcd6876ffe9f02a0c6813dd8fe477d7e11b74e264f90ff04fef8befa3688f34ad48db266c4293a10658e3a16bd643aff7f0796bbe3712631e4188e9b11bc5"]}, 0xf0}, 0x1, 0x0, 0x0, 0x4042001}, 0x150) ioctl$EXT4_IOC_GET_ES_CACHE(r0, 0xc020662a, &(0x7f0000000380)={0x2, 0x6, 0x0, 0x2, 0x6, [{0x0, 0x7, 0x9, '\x00', 0x100}, {0x0, 0x6, 0x1, '\x00', 0x480}, {0x1000, 0x0, 0x2, '\x00', 0x8}, {0x4433, 0xfffffffffffffffd, 0x58}, {0x9, 0x1, 0x7f}, {0x10000, 0x80000000, 0x8, '\x00', 0x1000}]}) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000540)=@IORING_OP_TIMEOUT={0xb, 0x5, 0x0, 0x0, 0x8, &(0x7f0000000500)}, 0xffffffff) sendmsg$nl_generic(r1, &(0x7f0000001880)={&(0x7f0000000580)={0x10, 0x0, 0x0, 0x1000000}, 0xc, &(0x7f0000001840)={&(0x7f00000005c0)={0x127c, 0x24, 0x800, 0x70bd2a, 0x25dfdbfb, {0x1c}, [@typed={0x8, 0x15, 0x0, 0x0, @pid=0xffffffffffffffff}, @generic="42fc48ca9e1c9db5ce25f969cea0c39593aee38352c26f21800bc9ce31919028157a964b8f7dc8d5102a57724ea5eb5744e2057cc320d57711fdc5e9e8c339ddaa89be084ba80241d4cb0961b345be798df1633d3a601e588bdc169b32168a5fd8dd49a1946e935b011e0b7741f71d0a0b927bcbbed7e4cc01a5d3d17deb374ce4f26b831355f72f54a06ba8f91cda93b6f86e46a8cc703db4eb3d17b6fe63afa04e0d19702ac1d536922d504425a6577b5b4d9c151491983dbbdde05891fdfac11f82cb9150df0bd45f0bdcc606a80728764e85dceaa46254477ef15deef6cd57e8659b2eceb5015732e8c4134cd186d3c1c029055dd8c763", @generic="e15e4266cecb8c92ce424546b707e837377411af7c762b13888631b36e6fc7e942371b90349d3d38f9914dad1154dcca4f9cf6b049adfb8e", @typed={0x31, 0x7d, 0x0, 0x0, @binary="55a41891efec8085a562215dc2ae5470320b9b905848d81ff8469514d9cf33136ebaacd87a974b91cf497a3120"}, @nested={0x10df, 0x6d, 0x0, 0x1, [@generic="893ba18fabb2be40bacebee94b780713c32ec8eabdda4294ed1f6da1dacce232ec8803d2d20aff3a8c849335a56a99668e4de397d31b676b6d71c9a53f681b28cec9948b535e82bc9b83e7f685a4d134d66d12f361c0daa9cefb25ccb13a3727ae99d5aea37aded5e8a220af1887dcd4f30f70c88e03c336c41a9e0aebfb927a456e6b5639382886a5f0d432c5726d2ba1fb5650f31987ed0e398a7d6e483ddc94e3feb433347421726f9ee008bf0450fbd4a6efc19e78072c637cb94da0a46e692495facf18d4cf08dc16b7b241e8", @typed={0xc, 0x35, 0x0, 0x0, @u64=0x6}, @generic="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"]}, @typed={0x8, 0x4e, 0x0, 0x0, @u32=0xffff7fff}, @typed={0x8, 0x64, 0x0, 0x0, @u32=0x8}, @typed={0x8, 0x42, 0x0, 0x0, @u32=0x7}]}, 0x127c}, 0x1, 0x0, 0x0, 0x1}, 0x0) r2 = openat(r1, &(0x7f00000018c0)='./file0\x00', 0x80080, 0x40) r3 = openat$cgroup_netprio_ifpriomap(r1, &(0x7f0000001900), 0x2, 0x0) ftruncate(r3, 0x8) r4 = openat$nvram(0xffffffffffffff9c, &(0x7f0000001940), 0x111100, 0x0) ioctl$sock_SIOCGPGRP(r4, 0x8904, &(0x7f0000001980)) pselect6(0x40, &(0x7f00000019c0)={0x1f, 0x8000, 0x738, 0x7, 0x20, 0x4, 0x3, 0x2}, &(0x7f0000001a00)={0x20, 0x5, 0xb, 0x7, 0x1f74142e, 0x4, 0xff, 0x3}, &(0x7f0000001a40)={0x0, 0x4, 0x70, 0x7, 0x0, 0x3, 0x9a, 0x8172}, &(0x7f0000001a80), &(0x7f0000001b00)={&(0x7f0000001ac0)={[0x1]}, 0x8}) ioctl$AUTOFS_DEV_IOCTL_CLOSEMOUNT(r2, 0xc0189375, &(0x7f0000001b40)={{0x1, 0x1, 0x18, r0}, './file0\x00'}) signalfd4(r5, &(0x7f0000001b80), 0x8, 0x800) r6 = pidfd_getfd(r0, r3, 0x0) sendmsg$NFT_MSG_GETRULE(r6, &(0x7f00000028c0)={&(0x7f0000001bc0)={0x10, 0x0, 0x0, 0x400}, 0xc, &(0x7f0000002880)={&(0x7f0000001c00)={0xc78, 0x7, 0xa, 0x801, 0x0, 0x0, {0x2, 0x0, 0x2}, [@NFTA_RULE_POSITION={0xc, 0x6, 0x1, 0x0, 0x2}, @NFTA_RULE_POSITION_ID={0x8, 0xa, 0x1, 0x0, 0x1}, @NFTA_RULE_HANDLE={0xc, 0x3, 0x1, 0x0, 0x3}, @NFTA_RULE_POSITION_ID={0x8, 0xa, 0x1, 0x0, 0x1}, @NFTA_RULE_EXPRESSIONS={0xc1c, 0x4, 0x0, 0x1, [{0x9c, 0x1, 0x0, 0x1, [@cmp={{0x8}, @void}, @cmp={{0x8}, @void}, @lookup={{0xb}, @void}, @log={{0x8}, @val={0x44, 0x2, 0x0, 0x1, [@NFTA_LOG_PREFIX={0x17, 0x2, 0x1, 0x0, 'net_prio.ifpriomap\x00'}, @NFTA_LOG_SNAPLEN={0x8, 0x3, 0x1, 0x0, 0x3}, @NFTA_LOG_LEVEL={0x8}, @NFTA_LOG_QTHRESHOLD={0x6, 0x4, 0x1, 0x0, 0x100}, @NFTA_LOG_FLAGS={0x8, 0x6, 0x1, 0x0, 0x11}, @NFTA_LOG_PREFIX={0x7, 0x2, 0x1, 0x0, '#]\x00'}]}}, @match={{0xa}, @void}, @rt={{0x7}, @val={0x4}}, @queue={{0xa}, @void}, @socket={{0xb}, @void}]}, {0x5ec, 0x1, 0x0, 0x1, [@log={{0x8}, @void}, @hash={{0x9}, @val={0x1c, 0x2, 0x0, 0x1, [@NFTA_HASH_SEED={0x8, 0x5, 0x1, 0x0, 0x1}, @NFTA_HASH_DREG={0x8, 0x2, 0x1, 0x0, 0xa}, @NFTA_HASH_DREG={0x8, 0x2, 0x1, 0x0, 0xb}]}}, @hash={{0x9}, @val={0x34, 0x2, 0x0, 0x1, [@NFTA_HASH_MODULUS={0x8, 0x4, 0x1, 0x0, 0x3}, @NFTA_HASH_LEN={0x8, 0x3, 0x1, 0x0, 0x9b}, @NFTA_HASH_LEN={0x8, 0x3, 0x1, 0x0, 0x5d}, @NFTA_HASH_SREG={0x8, 0x1, 0x1, 0x0, 0x14}, @NFTA_HASH_MODULUS={0x8, 0x4, 0x1, 0x0, 0x7fff}, @NFTA_HASH_SREG={0x8, 0x1, 0x1, 0x0, 0x1}]}}, @dynset={{0xb}, @val={0x38, 0x2, 0x0, 0x1, [@NFTA_DYNSET_EXPR={0x10, 0x7, 0x0, 0x1, {{0xb}, @void}}, @NFTA_DYNSET_FLAGS={0x8, 0x9, 0x1, 0x0, 0x1}, @NFTA_DYNSET_SREG_DATA={0x8, 0x5, 0x1, 0x0, 0x10}, @NFTA_DYNSET_SET_NAME={0x9, 0x1, 'syz1\x00'}, @NFTA_DYNSET_SET_ID={0x8, 0x2, 0x1, 0x0, 0x1}]}}, @cmp={{0x8}, @val={0x4b4, 0x2, 0x0, 0x1, [@NFTA_CMP_DATA={0x468, 0x3, 0x0, 0x1, [@NFTA_DATA_VALUE={0x44, 0x1, "6e30cfd7e842f6f45911351f57832912e1c0e20154629635fa821af219b017e442ab249fee07ce6ebffd145796d5b0b26c4106f5ed220751af30406424cedff9"}, @NFTA_DATA_VERDICT={0x2c, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffb}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CODE={0x8}]}, @NFTA_DATA_VALUE={0xfe, 0x1, "f04f63123d6b81cf7de7a9e38d0371257506ecba2535f08014ed9274d793c692c58e84b2bded58d60719ac7a610fd7da328f4f0397996fc87e9a746a14755e38210ba218eb12c92ef7b804a8927e9000598b637dd9a9b88adc575c1a712cc44638783a1a9a10c4ce0ca272dbe714db7139fdffb37c7f746884ec2e83bd083c915e7aed6c63f7e84d3d2d1b2d5492bb703693ad36ac7f7cbcc163946a46bb224e7dfe1cdb7bf0070efb12aa8efa0d6d6c9ea6a29af02ff4fb50510e5ab7a3448f5cd03380f4c5413030a085085718f7e3a081c2c582af82959515b1f956f99bc431dd43846fd614dde41f950b838b7ae1e3e5db18ec003bf540d7"}, @NFTA_DATA_VALUE={0xa1, 0x1, "bbac02c32e9e13f64f30535eda45e24ce75a4e6d6e3952805b06af30ab25e0684b086304eb512f0fbbb7ef1f064c67e0ae36bf587f8394fe7fdecd6cc34bd24ceceb8297586fc72f1c1aa241a14c54637f578eabb394b88da4093ef1862435d0f93d54bcf871037b6238c01e8e2ca7fdcf5ab712f4563a55fd82d7f23dd1297b3424e8cea0a76d785659a1ab97b21d75019a613abb77ca0c028607a4fd"}, @NFTA_DATA_VALUE={0x101, 0x1, "51d14542ad18c09b46e79b5c7d6d8e179bf793329b17e002a633595a76ebd48aca508c2be2d6dca40c56b653439132eda344280e9baf86fa15d1715b38e7b1c35942358372753c384f122e088537c4cc62e5e3410f1a258ec5da5a74bdd7d83eed4d0c58be1e52b803aff8cfd633f1a785dd21b7c40e3372fe827a33ea1b55194b82c6cf27310d72f037a112026f9cb894455075feefb58239ece77619d2bc5ed5fe1aecd44683ac814436430bfb4fe0cc7de3a8806cc21df1140f4745b3e26acc16346436754eedcbf4f74aabd7e6f879f1e9f21769f5ad98b155be6c8c540d74004c2d416ea9fd380115ac5b0b832880680f70ec12cbc3b520f6eb3f"}, @NFTA_DATA_VERDICT={0x20, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}, @NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffe}]}, @NFTA_DATA_VERDICT={0x34, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz2\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}]}, @NFTA_DATA_VALUE={0xf8, 0x1, "b0ce046062149247b2be7f9f509a2d754cc8cbb34fa88ce291cc95e86fd9bef145d509a67f0b347c8583539f4852ecf23d21c4b488d348c712637a722e1c4207a80f2cad70a7f3882ba666719b4a1f51e764933cca177a5dd46cdd1b9cfb045c653de206c9ead26644e0b321276b592d5a1d85c105c567c95affaed44bd539102cab8950a3fcb7d3ffb8fe120f6a90daf8b1bf21f736f75c2e9f0150d80669b5c03c257f98f1d56096b33bebe3cb21f9aecf5814b3e519356ca34bac8cf8944bac1f3c15c084d36199468d1a81f0303f8c06b50c6501745a6a960f4e66e105124bcb04f8b5be585e58e16d841348ff043157c693"}]}, @NFTA_CMP_DATA={0x48, 0x3, 0x0, 0x1, [@NFTA_DATA_VERDICT={0x28, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}, @NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz0\x00'}]}, @NFTA_DATA_VERDICT={0x10, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CHAIN={0x9, 0x2, 'syz1\x00'}]}, @NFTA_DATA_VERDICT={0xc, 0x2, 0x0, 0x1, [@NFTA_VERDICT_CODE={0x8, 0x1, 0x0, 0x1, 0xfffffffffffffffb}]}]}]}}, @flow_offload={{0x11}, @void}, @dynset={{0xb}, @val={0x38, 0x2, 0x0, 0x1, [@NFTA_DYNSET_SREG_KEY={0x8, 0x4, 0x1, 0x0, 0x8}, @NFTA_DYNSET_OP={0x8, 0x3, 0x1, 0x0, 0x2}, @NFTA_DYNSET_FLAGS={0x8, 0x9, 0x1, 0x0, 0x1}, @NFTA_DYNSET_EXPR={0x10, 0x7, 0x0, 0x1, {{0xb}, @void}}, @NFTA_DYNSET_TIMEOUT={0xc, 0x6, 0x1, 0x0, 0xbcdc}]}}, @redir={{0xa}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_REDIR_REG_PROTO_MIN={0x8, 0x1, 0x1, 0x0, 0x9}, @NFTA_REDIR_REG_PROTO_MAX={0x8, 0x2, 0x1, 0x0, 0x10}]}}]}, {0x34, 0x1, 0x0, 0x1, [@tunnel={{0xb}, @val={0x24, 0x2, 0x0, 0x1, [@NFTA_TUNNEL_KEY={0x8}, @NFTA_TUNNEL_DREG={0x8, 0x2, 0x1, 0x0, 0xb}, @NFTA_TUNNEL_MODE={0x8}, @NFTA_TUNNEL_DREG={0x8, 0x2, 0x1, 0x0, 0x13}]}}]}, {0x30, 0x1, 0x0, 0x1, [@lookup={{0xb}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_LOOKUP_SET_ID={0x8}, @NFTA_LOOKUP_DREG={0x8, 0x3, 0x1, 0x0, 0x11}]}}, @quota={{0xa}, @void}]}, {0x40, 0x1, 0x0, 0x1, [@hash={{0x9}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_HASH_SEED={0x8, 0x5, 0x1, 0x0, 0xffff}, @NFTA_HASH_DREG={0x8, 0x2, 0x1, 0x0, 0xf}]}}, @range={{0xa}, @void}, @byteorder={{0xe}, @void}]}, {0xf4, 0x1, 0x0, 0x1, [@quota={{0xa}, @val={0x38, 0x2, 0x0, 0x1, [@NFTA_QUOTA_BYTES={0xc, 0x1, 0x1, 0x0, 0x6}, @NFTA_QUOTA_FLAGS={0x8, 0x2, 0x1, 0x0, 0x2}, @NFTA_QUOTA_FLAGS={0x8, 0x2, 0x1, 0x0, 0x2}, @NFTA_QUOTA_CONSUMED={0xc, 0x4, 0x1, 0x0, 0x3}, @NFTA_QUOTA_BYTES={0xc, 0x1, 0x1, 0x0, 0xffff}]}}, @fwd={{0x8}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_FWD_SREG_DEV={0x8, 0x1, 0x1, 0x0, 0x11}, @NFTA_FWD_SREG_DEV={0x8, 0x1, 0x1, 0x0, 0xf}]}}, @log={{0x8}, @void}, @byteorder={{0xe}, @val={0x54, 0x2, 0x0, 0x1, [@NFTA_BYTEORDER_OP={0x8, 0x3, 0x1, 0x0, 0x1}, @NFTA_BYTEORDER_OP={0x8}, @NFTA_BYTEORDER_SIZE={0x8, 0x5, 0x1, 0x0, 0x14}, @NFTA_BYTEORDER_OP={0x8}, @NFTA_BYTEORDER_SIZE={0x8, 0x5, 0x1, 0x0, 0x66}, @NFTA_BYTEORDER_SREG={0x8, 0x1, 0x1, 0x0, 0x1}, @NFTA_BYTEORDER_LEN={0x8, 0x4, 0x1, 0x0, 0x54}, @NFTA_BYTEORDER_SIZE={0x8, 0x5, 0x1, 0x0, 0xe9}, @NFTA_BYTEORDER_SIZE={0x8, 0x5, 0x1, 0x0, 0x93}, @NFTA_BYTEORDER_SIZE={0x8, 0x5, 0x1, 0x0, 0xff}]}}, @masq={{0x9}, @void}, @hash={{0x9}, @void}, @quota={{0xa}, @void}]}, {0x78, 0x1, 0x0, 0x1, [@hash={{0x9}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_HASH_SREG={0x8, 0x1, 0x1, 0x0, 0x10}, @NFTA_HASH_SEED={0x8, 0x5, 0x1, 0x0, 0x4}]}}, @fib={{0x8}, @void}, @masq={{0x9}, @val={0xc, 0x2, 0x0, 0x1, [@NFTA_MASQ_REG_PROTO_MAX={0x8, 0x3, 0x1, 0x0, 0xb}]}}, @osf={{0x8}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_OSF_FLAGS={0x8}, @NFTA_OSF_TTL={0x5, 0x2, 0x1}]}}, @numgen={{0xb}, @val={0xc, 0x2, 0x0, 0x1, [@NFTA_NG_DREG={0x8, 0x1, 0x1, 0x0, 0x17}]}}]}, {0x98, 0x1, 0x0, 0x1, [@notrack={{0xc}, @val={0x4, 0x2, 0x0, 0x1, ["", "", "", "", "", "", "", ""]}}, @counter={{0xc}, @void}, @masq={{0x9}, @val={0x1c, 0x2, 0x0, 0x1, [@NFTA_MASQ_REG_PROTO_MIN={0x8, 0x2, 0x1, 0x0, 0x16}, @NFTA_MASQ_REG_PROTO_MAX={0x8, 0x3, 0x1, 0x0, 0x9}, @NFTA_MASQ_FLAGS={0x8, 0x1, 0x1, 0x0, 0x1d}]}}, @immediate={{0xe}, @void}, @socket={{0xb}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_SOCKET_DREG={0x8, 0x2, 0x1, 0x0, 0x10}, @NFTA_SOCKET_DREG={0x8, 0x2, 0x1, 0x0, 0x8}]}}, @limit={{0xa}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_LIMIT_TYPE={0x8}, @NFTA_LIMIT_BURST={0x8, 0x3, 0x1, 0x0, 0x9}]}}]}, {0x2e8, 0x1, 0x0, 0x1, [@queue={{0xa}, @val={0x1c, 0x2, 0x0, 0x1, [@NFTA_QUEUE_SREG_QNUM={0x8, 0x4, 0x1, 0x0, 0x9}, @NFTA_QUEUE_FLAGS={0x6, 0x3, 0x1, 0x0, 0x2}, @NFTA_QUEUE_TOTAL={0x6, 0x2, 0x1, 0x0, 0x2}]}}, @fwd={{0x8}, @val={0x2c, 0x2, 0x0, 0x1, [@NFTA_FWD_SREG_ADDR={0x8, 0x2, 0x1, 0x0, 0x2}, @NFTA_FWD_NFPROTO={0x8, 0x3, 0x1, 0x0, 0xa}, @NFTA_FWD_SREG_DEV={0x8, 0x1, 0x1, 0x0, 0xa}, @NFTA_FWD_NFPROTO={0x8, 0x3, 0x1, 0x0, 0x2}, @NFTA_FWD_SREG_DEV={0x8, 0x1, 0x1, 0x0, 0x4}]}}, @target={{0xb}, @val={0x1a8, 0x2, 0x0, 0x1, [@NFTA_TARGET_NAME={0x6, 0x1, ':\x00'}, @NFTA_TARGET_INFO={0xdd, 0x3, "65fa4ca93cf0100ca0a8ef4ac479920db3419ce2bc9c13c40fd578a26befefd8f87d1d41d4e2c56cdf1ed753ab1bfcf9cd4f5097c5750f474e50ef321e9d19e98c9ba927383a3be46bbd2ef959034f9dd27eb34f1ed6a5dfdd14208fdc098404fce543bd4ede73317dd23d19f3affae9f036a3267300335b84499f9b68a54674f32d02a89fc35a2b0c526127b87be4518ff44c728eb1a3b756c4b18b7c9a41e911cde39483338a405fc92ae4e4445c07f7648c6de9875d79e8586456e25570519cbf276efc962870cfa742cf0e399e520ade7e184ddab8fb15"}, @NFTA_TARGET_NAME={0x8, 0x1, '\\\\,\x00'}, @NFTA_TARGET_REV={0x8, 0x2, 0x1, 0x0, 0x7ff}, @NFTA_TARGET_INFO={0x9b, 0x3, "f8bde360cf6529d52420a92ee4bbaecf538403decf86b38ff6325ff3f5cff4ba8bfe3ba51af8f6a93a1baeb586a796708b64ce390f36b2d3f9e1af1eff616226da332aab572519aa45a38d6921b9c2ab8298b05b73c8a3b65559d6fe48e03b42f7340e3829f1cba5da2d296b362349ba108b80f704090e4158a2d8ec4c109d70d399b432b3d7c56d674dc79b9d49047048c82c988f6d95"}, @NFTA_TARGET_REV={0x8}, @NFTA_TARGET_NAME={0x8, 0x1, '\xd8-[\x00'}]}}, @counter={{0xc}, @val={0x40, 0x2, 0x0, 0x1, [@NFTA_COUNTER_PACKETS={0xc, 0x2, 0x1, 0x0, 0x200}, @NFTA_COUNTER_PACKETS={0xc, 0x2, 0x1, 0x0, 0xfffffffffffeffff}, @NFTA_COUNTER_BYTES={0xc, 0x1, 0x1, 0x0, 0xe3e6}, @NFTA_COUNTER_BYTES={0xc, 0x1, 0x1, 0x0, 0x32}, @NFTA_COUNTER_BYTES={0xc, 0x1, 0x1, 0x0, 0x80000000000000}]}}, @rt={{0x7}, @val={0x4c, 0x2, 0x0, 0x1, [@NFTA_RT_KEY={0x8, 0x2, 0x1, 0x0, 0x1}, @NFTA_RT_DREG={0x8}, @NFTA_RT_DREG={0x8, 0x1, 0x1, 0x0, 0x17}, @NFTA_RT_DREG={0x8, 0x1, 0x1, 0x0, 0x10}, @NFTA_RT_KEY={0x8, 0x2, 0x1, 0x0, 0x4}, @NFTA_RT_KEY={0x8, 0x2, 0x1, 0x0, 0x3}, @NFTA_RT_KEY={0x8}, @NFTA_RT_DREG={0x8, 0x1, 0x1, 0x0, 0x15}, @NFTA_RT_DREG={0x8, 0x1, 0x1, 0x0, 0xe}]}}, @dup_ipv4={{0x8}, @val={0x14, 0x2, 0x0, 0x1, [@NFTA_DUP_SREG_ADDR={0x8, 0x1, 0x1, 0x0, 0x13}, @NFTA_DUP_SREG_ADDR={0x8, 0x1, 0x1, 0x0, 0xa}]}}, @quota={{0xa}, @void}, @queue={{0xa}, @void}]}]}, @NFTA_RULE_POSITION_ID={0x8, 0xa, 0x1, 0x0, 0x3}, @NFTA_RULE_HANDLE={0xc, 0x3, 0x1, 0x0, 0x4}, @NFTA_RULE_TABLE={0x9, 0x1, 'syz1\x00'}]}, 0xc78}, 0x1, 0x0, 0x0, 0x4040000}, 0x10) syncfs(r2) 08:11:33 executing program 5: r0 = openat(0xffffffffffffff9c, &(0x7f0000000000)='./file0\x00', 0x40000, 0x102) r1 = accept$unix(r0, &(0x7f0000000040)=@abs, &(0x7f00000000c0)=0x6e) r2 = syz_mount_image$msdos(&(0x7f0000000100), &(0x7f0000000140)='./file0\x00', 0xff, 0x6, &(0x7f0000000400)=[{&(0x7f0000000180)="68f613b26307e415a5a84c9d89fffdf8fe2931a0f47bcca14cd99c29d8b4b3ee44d9536e14b37b07e178ee82c3977f6709de695ae7421b0b67985f7bcafe76c91e917eed57a639ef8798275dca8ca6c99bb239ae05fdc1aabe3496fa0caadca6039f5f7f9b887ce1f2f4b41df0184045a6a706b2b5f056628a3a1ff3d3ea6e470d", 0x81, 0x8000000000000000}, {&(0x7f0000000240)="ce70cd912c3a4bd643daa2e436bd84e84ad623319c6aca0fce8e94452ff755ec06b57aa1f61fdeb8b071dc88e7e709ff09931b05b7182240ef9d627437a6ad8176cd4f6ce915dc638bf166708981235acc5d85f460", 0x55, 0xca09}, {&(0x7f00000002c0)="da", 0x1, 0x1ff}, {&(0x7f0000000300)="b5be9fa9f8cc538bdde6504692bbb19e8158e0c6215de55289ef81", 0x1b, 0x4}, {&(0x7f0000000340)="69cb22c843c53ddea6ed8a451bff135909b1416a7a85571551baf7d6647adc8c78a0cfc071c99bf7c4f60b222bbe118c2967694f05b9cdce3b3d4cf3ae413599790a145a8657a4d7bcd72be1bc15b4a9ca2abe0223c85d62ffdf3ee20261a8c29386df96309b7ea7ff54820bf0c02d7af63425f9f724c7", 0x77, 0x401}, {&(0x7f00000003c0)='r', 0x1}], 0x10, &(0x7f00000004c0)={[{@fat=@check_normal}, {@dots}, {@nodots}, {@nodots}, {@fat=@allow_utime={'allow_utime', 0x3d, 0x100000001}}, {@fat=@discard}], [{@audit}, {@subj_type}, {@obj_type={'obj_type', 0x3d, '^:\\'}}, {@obj_type={'obj_type', 0x3d, '.]/:,(%^'}}, {@obj_user={'obj_user', 0x3d, ']%'}}, {@subj_role={'subj_role', 0x3d, '@\xeb:'}}]}) r3 = openat(r2, &(0x7f0000000580)='./file0\x00', 0x2001, 0x60) r4 = openat$selinux_attr(0xffffffffffffff9c, &(0x7f00000005c0)='/proc/thread-self/attr/sockcreate\x00', 0x2, 0x0) r5 = syz_open_procfs$userns(0xffffffffffffffff, &(0x7f0000000600)) kcmp(0x0, 0x0, 0x2, r4, r5) r6 = openat$zero(0xffffffffffffff9c, &(0x7f0000000640), 0x22300, 0x0) r7 = openat(r6, &(0x7f0000000680)='./file0\x00', 0x800, 0x24) r8 = openat$hwrng(0xffffffffffffff9c, &(0x7f00000006c0), 0x41040, 0x0) ioctl$AUTOFS_DEV_IOCTL_PROTOVER(r6, 0xc0189372, &(0x7f0000000700)={{0x1, 0x1, 0x18, 0xffffffffffffffff}, './file1\x00'}) ioctl$AUTOFS_DEV_IOCTL_REQUESTER(r8, 0xc018937b, &(0x7f0000000740)={{0x1, 0x1, 0x18, r9}, './file0\x00'}) ioctl$BTRFS_IOC_DEV_INFO(0xffffffffffffffff, 0xd000941e, &(0x7f0000000780)={0x0, "6aa6e4d21f63cd2c132054f2a5182ec5"}) sendfile(r3, r5, &(0x7f0000001780)=0x3, 0x2) write$binfmt_elf32(r10, &(0x7f00000017c0)={{0x7f, 0x45, 0x4c, 0x46, 0x81, 0x0, 0x8, 0x7, 0x2, 0x3, 0x6, 0x101, 0x577, 0x38, 0x187, 0x101, 0x400, 0x20, 0x2, 0xc000, 0x7f, 0x20}, [{0x7, 0x10000, 0x5, 0xc1, 0x10001, 0x4, 0x213, 0x4}], "8311cecc481a1e0c2da38ec499357098b3ecf6f4192b5eaa77642db0efadd23e42905f7ac282a7f6d7731d520454edc8eeeb415c01c13fa68eb5cc919150d6e1f6ab31015c57960af9ec58bcae599b42627a1eb7503804e1826d9b4c53bea6c5878fd423716a4a67c85b8a7e1429021fae1a5ec596199649a56de57df32227855937ba2b8c488a029591d42c33c9a71dc4e0a93050ba83db9b10fc66808217b5696ad4035ef74e04b290a5dd03cf4313b16d038e81c1dd2275ba357ce538a2d9b9210b7a572b1b3867a3faca1915a243488a1f2b91257f9197b776c4881a5717", ['\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00']}, 0x938) sendmsg$TIPC_CMD_SHOW_PORTS(r10, &(0x7f00000021c0)={&(0x7f0000002100)={0x10, 0x0, 0x0, 0xa905b1d1c1c95551}, 0xc, &(0x7f0000002180)={&(0x7f0000002140)={0x1c, 0x0, 0x800, 0x70bd2d, 0x25dfdbfd, {}, ["", "", "", "", "", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x5}, 0x800) sendfile(r1, r6, &(0x7f0000002200)=0x1, 0x7fffffff) ioctl$TIOCOUTQ(r7, 0x5411, &(0x7f0000002240)) r11 = syz_genetlink_get_family_id$devlink(0xfffffffffffffffd, r3) sendmsg$DEVLINK_CMD_SB_TC_POOL_BIND_SET(r10, &(0x7f0000002580)={&(0x7f0000002280)={0x10, 0x0, 0x0, 0x800000}, 0xc, &(0x7f0000002540)={&(0x7f00000022c0)={0x278, r11, 0x0, 0x70bd28, 0x25dfdbfc, {}, [{{@pci={{0x8}, {0x11}}, {0x8, 0x3, 0x1}}, {0x8, 0xb, 0x7e2}, {0x6}, {0x5}, {0x6, 0x11, 0x6}, {0x8, 0xb, 0x4}}, {{@pci={{0x8}, {0x11}}, {0x8, 0x3, 0x1}}, {0x8, 0xb, 0x1}, {0x6, 0x16, 0x2}, {0x5, 0x12, 0x1}, {0x6, 0x11, 0x6}, {0x8, 0xb, 0x5}}, {{@pci={{0x8}, {0x11}}, {0x8}}, {0x8, 0xb, 0x3}, {0x6, 0x16, 0x1}, {0x5, 0x12, 0x1}, {0x6, 0x11, 0x40}, {0x8, 0xb, 0x70ec}}, {{@nsim={{0xe}, {0xf, 0x2, {'netdevsim', 0x0}}}, {0x8, 0x3, 0x2}}, {0x8, 0xb, 0x2}, {0x6, 0x16, 0x2a}, {0x5, 0x12, 0x1}, {0x6, 0x11, 0x8001}, {0x8, 0xb, 0x3}}, {{@pci={{0x8}, {0x11}}, {0x8, 0x3, 0x3}}, {0x8, 0xb, 0x1}, {0x6, 0x16, 0x1}, {0x5}, {0x6, 0x11, 0x7}, {0x8, 0xb, 0x3}}, {{@pci={{0x8}, {0x11}}, {0x8}}, {0x8, 0xb, 0xfffffffe}, {0x6, 0x16, 0x1}, {0x5}, {0x6}, {0x8, 0xb, 0xfffffc00}}, {{@pci={{0x8}, {0x11}}, {0x8, 0x3, 0x1}}, {0x8, 0xb, 0xfffffff7}, {0x6, 0x16, 0x5}, {0x5, 0x12, 0x1}, {0x6, 0x11, 0x1}, {0x8, 0xb, 0x81}}, {{@pci={{0x8}, {0x11}}, {0x8, 0x3, 0x3}}, {0x8, 0xb, 0x6}, {0x6, 0x16, 0x7fff}, {0x5, 0x12, 0x1}, {0x6, 0x11, 0xfff7}, {0x8, 0xb, 0x20}}]}, 0x278}}, 0x20000080) 08:11:33 executing program 3: fcntl$F_GET_RW_HINT(0xffffffffffffffff, 0x40b, &(0x7f0000000000)) r0 = openat(0xffffffffffffffff, &(0x7f0000000040)='./file0\x00', 0x240280, 0x0) poll(&(0x7f0000000080)=[{r0, 0x1251}], 0x1, 0xa63) ioctl$FS_IOC_GETVERSION(r0, 0x80087601, &(0x7f00000000c0)) setsockopt$sock_int(r0, 0x1, 0x28, &(0x7f0000000100)=0x1, 0x4) io_submit(0x0, 0x1, &(0x7f0000000240)=[&(0x7f0000000200)={0x0, 0x0, 0x0, 0x2, 0x2df8, 0xffffffffffffffff, &(0x7f0000000140)="05744a302bed8c1fa522d52fd5ed2f0b89cde3a1dd7c30cffdf289d058e29425e083def899c22ffb3c0a99f206e53f6aff4a1c62cca6a7de631646507b6512312d07d2169259809efab61deb8f59d99ef7851d4ccb78ca4c648e8cc7c9913ef155e572c117f7735cf6f9925a44c350c683d9082a34cd507bd0886608d2b78cfe2e7f2c0c5d", 0x85, 0x8, 0x0, 0x1}]) r1 = socket$inet6_icmp(0xa, 0x2, 0x3a) ioctl$F2FS_IOC_WRITE_CHECKPOINT(r1, 0xf507, 0x0) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r1, 0x81f8943c, &(0x7f0000000280)) r2 = syz_open_dev$mouse(&(0x7f0000000480), 0x9, 0x1255c0) ioctl$FS_IOC_FIEMAP(r0, 0xc020660b, &(0x7f00000004c0)={0x8, 0x8, 0x0, 0xbf4c, 0xa, [{0x401, 0x7fff, 0x26, '\x00', 0xf8a}, {0x101, 0x0, 0x6, '\x00', 0x2000}, {0x800, 0x10001, 0x2, '\x00', 0x102}, {0x4cb94f5d, 0x7aaf, 0xfffffffffffffffa, '\x00', 0x603}, {0x9, 0x8, 0x2, '\x00', 0x2}, {0x9, 0x10001, 0x8000, '\x00', 0x1847}, {0x2, 0x5, 0x7, '\x00', 0x2800}, {0x8000, 0x1, 0x5, '\x00', 0x902}, {0x6, 0x4, 0x0, '\x00', 0x80}, {0x3, 0x1f, 0x101, '\x00', 0x484}]}) r3 = socket$netlink(0x10, 0x3, 0x6) write$binfmt_aout(r3, &(0x7f0000000740)={{0x10b, 0x2, 0xf4, 0x371, 0x79, 0x6, 0x2ed, 0x5}, "14a630e34639075d449592fc2f7ee5f4c213aec9127a6d68bb4579f909609d4e9df191ddc6a3d7dd89958a2685ebd0003c570bb6356d84d2245e1dc02ac670e8be066694ec2da9f2", ['\x00', '\x00', '\x00', '\x00', '\x00']}, 0x568) r4 = open_tree(r0, &(0x7f0000000cc0)='./file0\x00', 0x0) connect$inet6(r4, &(0x7f0000000d00)={0xa, 0x4e21, 0x101, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', 0x7fffffff}, 0x1c) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r0, 0x81f8943c, &(0x7f0000000d40)) r5 = dup(r3) sendmsg$NL80211_CMD_SET_TX_BITRATE_MASK(r5, &(0x7f0000001800)={&(0x7f0000001000)={0x10, 0x0, 0x0, 0x40000000}, 0xc, &(0x7f00000017c0)={&(0x7f0000001040)={0x754, 0x0, 0x4, 0x70bd27, 0x25dfdbfc, {{}, {@val={0x8}, @val={0xc, 0x99, {0x5, 0x1b}}}}, [@NL80211_ATTR_TX_RATES={0x33c, 0x5a, 0x0, 0x1, [@NL80211_BAND_5GHZ={0xa8, 0x1, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0xfffc, 0x7ff, 0xff, 0x0, 0xa328, 0x5, 0xbd, 0x4]}}, @NL80211_TXRATE_LEGACY={0x23, 0x1, [0x60, 0x32, 0x3, 0x12, 0x1b, 0x16, 0x48, 0x24, 0x6c, 0x2b, 0x2, 0x24, 0x1c, 0x60, 0x1b, 0x1b, 0xb, 0x12, 0x0, 0x0, 0x6c, 0x12, 0x24, 0x1, 0x9, 0x6c, 0x60, 0x1b, 0x48, 0x3, 0xc]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1, 0x3f, 0xc90, 0x3, 0x4af, 0xb4, 0x8, 0x5]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xef, 0x7, 0x7ff, 0x4, 0x6, 0x200, 0x7, 0x1]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xff, 0x800, 0x8001, 0x3f, 0x7, 0x1, 0x0, 0x3]}}, @NL80211_TXRATE_LEGACY={0x11, 0x1, [0x4, 0x4, 0x36, 0x4, 0x6, 0x36, 0x24, 0x6c, 0x1, 0x4, 0x60, 0x18, 0x4]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x800, 0x2, 0x6, 0x6, 0xb1a, 0x200, 0x6, 0xe9]}}]}, @NL80211_BAND_6GHZ={0xc, 0x3, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x3}]}, @NL80211_BAND_5GHZ={0xc, 0x1, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}, @NL80211_BAND_60GHZ={0x64, 0x2, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x6, 0x1000, 0x7, 0x3, 0x240, 0x7c02, 0x7, 0x4]}}, @NL80211_TXRATE_LEGACY={0x7, 0x1, [0x15, 0x3, 0x1b]}, @NL80211_TXRATE_HT={0x4}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x800, 0xffff, 0x0, 0x80, 0x5, 0xff, 0x6]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x4, 0x1ff, 0x5, 0x20, 0xf1cf, 0x400, 0x5, 0x100]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}]}, @NL80211_BAND_60GHZ={0xc0, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x4, 0x500, 0xa381, 0x0, 0x1, 0x7, 0x8001, 0x3ff]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1, 0x3, 0x9, 0x7fff, 0x5, 0x200, 0x2, 0x7b3]}}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x80, 0x3ff, 0x0, 0xf0, 0x4, 0x8, 0x8001, 0x1]}}, @NL80211_TXRATE_HT={0xd, 0x2, [{0x1, 0x1}, {0x4, 0x5}, {0x0, 0x4}, {}, {0x2, 0x1}, {0x5, 0xa}, {0x0, 0xa}, {0x2, 0x9}, {0x4, 0x8}]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x2e6, 0x5, 0x1, 0x1, 0x800, 0x6fd, 0x8001, 0x1]}}, @NL80211_TXRATE_LEGACY={0x24, 0x1, [0x4, 0x1, 0x12, 0x2, 0x24, 0x30, 0xb, 0x16, 0x48, 0xb, 0x0, 0x6c, 0x3, 0xc, 0x9, 0x36, 0xb, 0x9, 0x2, 0x30, 0x9, 0x18, 0x0, 0x3, 0x30, 0x16, 0x6, 0x12, 0x18, 0x5, 0x0, 0x60]}, @NL80211_TXRATE_LEGACY={0x1d, 0x1, [0x24, 0x6c, 0x9, 0x36, 0x2, 0x18, 0x16, 0x36, 0x48, 0x6, 0x5, 0x48, 0x24, 0x3c, 0x6c, 0x60, 0x24, 0x3, 0x6, 0x30, 0x1b, 0x16, 0x1, 0x36, 0x18]}]}, @NL80211_BAND_5GHZ={0x10, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x9, 0x1, [0x48, 0xc, 0xb, 0x4e, 0x6c]}]}, @NL80211_BAND_6GHZ={0xd4, 0x3, 0x0, 0x1, [@NL80211_TXRATE_HT={0x46, 0x2, [{}, {0x0, 0x7}, {0x2, 0x3}, {0x6, 0x2}, {0x2, 0x1}, {0x5, 0x6}, {0x2}, {0x0, 0x7}, {0x1, 0x5}, {0x1, 0x6}, {0x1, 0x5}, {}, {0x5}, {0x1, 0x2}, {0x4, 0x5}, {}, {0x5, 0x1}, {0x0, 0x7}, {0x7, 0x3}, {0x5, 0x9}, {0x1, 0x4}, {0x0, 0x7}, {0x6, 0x8}, {0x1, 0x7}, {0x7, 0x2}, {0x3, 0x1}, {0x1, 0x5}, {0x6, 0x6}, {0x0, 0x5}, {0x4, 0x6}, {0x4, 0x3}, {0x6, 0x3}, {0x0, 0x3}, {0x1, 0xa}, {0x6, 0xa}, {0x3, 0xa}, {0x2, 0xa}, {0x1, 0x9}, {0x1, 0x2}, {0x0, 0x6}, {0x7, 0x5}, {0x0, 0x2}, {0x7, 0x3}, {0x4, 0x4}, {0x7}, {0x5, 0x2}, {0x3}, {0x6, 0x7}, {0x6, 0x2}, {0x0, 0xa}, {0x5, 0x5}, {0x2, 0x3}, {0x4, 0x1}, {0x6, 0x2}, {0x5, 0x7}, {0x7, 0x2}, {0x3}, {0x2, 0x5}, {0x4, 0x4}, {0x5, 0x3}, {0x5, 0x3}, {0x5, 0x4}, {0x5, 0x1}, {0x1, 0xa}, {0x0, 0x3}, {0x4, 0x6}]}, @NL80211_TXRATE_HT={0x36, 0x2, [{0x0, 0x4}, {0x7, 0x3}, {0x2, 0x7}, {0x4, 0x2}, {0x3, 0x6}, {0x5, 0x3}, {0x1, 0x9}, {0x2, 0x3}, {0x5, 0x7}, {0x1, 0x1}, {0x3, 0x1}, {0x4, 0xa}, {0x6, 0x2}, {0x0, 0x9}, {0x3, 0x5}, {0x1, 0x6}, {0x4, 0x3}, {0x1, 0x9}, {0x4, 0x9}, {0x1}, {0x3, 0x6}, {0x7, 0x5}, {0x0, 0x6}, {0x1, 0x7}, {0x2, 0x8}, {}, {0x7, 0x2}, {0x1, 0xa}, {0x7, 0x2}, {0x5, 0x4}, {0x5, 0x1}, {0x3, 0xa}, {0x1, 0x1}, {0x2, 0x6}, {0x1, 0x9}, {0x3, 0x8}, {0x0, 0x3}, {0x2, 0xa}, {0x7, 0x9}, {0x0, 0x3}, {0x3, 0x4}, {}, {0x5, 0x7}, {0x6, 0xa}, {0x0, 0x6}, {0x0, 0x7}, {0x3, 0x2}, {0x6, 0x3}, {0x2, 0xa}, {0x1, 0x3}]}, @NL80211_TXRATE_LEGACY={0xd, 0x1, [0x1b, 0x28, 0x24, 0x1b, 0x48, 0xc, 0x3, 0x6, 0x69]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x4}, @NL80211_TXRATE_LEGACY={0x12, 0x1, [0x2, 0x48, 0x3, 0xc, 0x18, 0xc, 0x4, 0x12, 0x28, 0x18, 0x6, 0x36, 0x5, 0x6]}, @NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_LEGACY={0x1a, 0x1, [0x16, 0x2, 0x4, 0x4, 0x4, 0x24, 0x9, 0x6, 0x7c, 0x48, 0x1, 0x5, 0x3, 0x5, 0x9, 0x4, 0xc, 0x30, 0x2f, 0x1b, 0x6, 0x6c]}]}, @NL80211_BAND_60GHZ={0x24, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0x1d, 0x2, [{0x0, 0x4}, {0x5, 0x4}, {0x0, 0x7}, {0x1}, {0x0, 0x9}, {0x1, 0xa}, {0x1, 0x9}, {0x6, 0x9}, {0x0, 0x6}, {0x0, 0x7}, {0x7, 0x9}, {0x2, 0x4}, {0x0, 0x8}, {0x3, 0x9}, {0x5, 0x8}, {0x3, 0x7}, {0x1, 0x6}, {0x0, 0x3}, {0x6, 0x2}, {0x4, 0x6}, {0x1, 0x1}, {0x7, 0x2}, {0x4, 0x9}, {0x4, 0x7}, {0x4}]}]}, @NL80211_BAND_60GHZ={0x4}, @NL80211_BAND_60GHZ={0x48, 0x2, 0x0, 0x1, [@NL80211_TXRATE_HT={0x4}, @NL80211_TXRATE_LEGACY={0x18, 0x1, [0x16, 0x12, 0x12, 0x5, 0x3, 0x2, 0x6c, 0x1, 0x24, 0x3, 0x6c, 0x4, 0x1, 0xb, 0xb, 0x2, 0x24, 0x1b, 0x0, 0x24]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x7, 0x4, 0x8, 0x100, 0x1f, 0x5, 0x8000]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x1, 0x81, 0x3, 0x401, 0x84b, 0x979, 0x8001, 0x81]}}]}]}, @NL80211_ATTR_TX_RATES={0x98, 0x5a, 0x0, 0x1, [@NL80211_BAND_6GHZ={0x68, 0x3, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x5, 0x8, 0xc0, 0x3, 0x7, 0xcf, 0x75, 0x6]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x0, 0x1, 0x7fff, 0x7, 0x0, 0x8472, 0x3]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x3, 0x4, 0x5, 0x80, 0xffff, 0x4, 0x100, 0x6]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x15, 0x1, [0x3, 0xc, 0x0, 0x9, 0xb, 0x1, 0x33, 0x18, 0x48, 0x1, 0x48, 0xd, 0x5, 0x5, 0x2, 0x6c, 0x36]}]}, @NL80211_BAND_5GHZ={0x2c, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x6, 0x1, [0x3, 0x1b]}, @NL80211_TXRATE_LEGACY={0xc, 0x1, [0x60, 0x4, 0x2, 0x1babdd593be70cfb, 0x1e, 0x9, 0x36, 0x12]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x6, 0xffff, 0x5, 0xffff, 0x1, 0xffff, 0x1]}}]}]}, @NL80211_ATTR_TX_RATES={0x2dc, 0x5a, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x98, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0xff, 0x1ff, 0x1, 0x2, 0x8982, 0x6, 0x200]}}, @NL80211_TXRATE_HT={0x11, 0x2, [{0x7, 0x1}, {0x4, 0x1}, {0x3, 0x4}, {0x0, 0x9}, {0x3, 0x4}, {0x3, 0x2}, {0x2, 0x6}, {0x4, 0xa}, {0x0, 0x1}, {0x3, 0x4}, {0x4, 0x2}, {0x2, 0x3}, {0x3, 0x7}]}, @NL80211_TXRATE_LEGACY={0xa, 0x1, [0x18, 0x24, 0x12, 0x24, 0x1, 0x1]}, @NL80211_TXRATE_HT={0x11, 0x2, [{0x7, 0x9}, {0x6, 0x2}, {0x0, 0xa}, {0x4, 0x2}, {0x1, 0x6}, {0x1, 0x8}, {0x4}, {0x7, 0x5}, {0x6, 0x8}, {0x0, 0x9}, {0x7, 0x4}, {0x3, 0x9}, {0x2, 0x6}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_LEGACY={0x6, 0x1, [0x2, 0x36]}, @NL80211_TXRATE_HT={0xa, 0x2, [{0x7, 0x9}, {0x3, 0x9}, {0x2, 0x7}, {0x6, 0x4}, {0x4, 0x2}, {0x1}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_LEGACY={0x1f, 0x1, [0x36, 0x6, 0x2, 0x9, 0x9, 0x2, 0x5, 0x5, 0x6, 0x18, 0x6c, 0x60, 0x3, 0x12, 0x48, 0x6, 0x48, 0xb, 0x6c, 0x4, 0x1, 0x3, 0xb, 0x5, 0xc, 0x1b, 0x4]}]}, @NL80211_BAND_5GHZ={0x34, 0x1, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x1, 0xfff, 0x5eb, 0x863a, 0xd8df, 0xf44, 0x5, 0x4]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x7}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0xfff, 0x2, 0x0, 0x3, 0x3, 0xd250, 0x8000]}}]}, @NL80211_BAND_60GHZ={0xcc, 0x2, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x80, 0x7fff, 0x1d, 0x800, 0xb5, 0x2, 0x9, 0x8]}}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x23, 0x2, [{0x0, 0xa}, {0x5, 0x8}, {0x3, 0x8}, {0x7, 0xa}, {0x1, 0x9}, {0x7, 0x9}, {0x4, 0xa}, {0x2, 0x3}, {0x6, 0x7}, {0x7, 0xa}, {0x2, 0x3}, {0x5, 0x1}, {0x5, 0x8}, {0x0, 0xa}, {0x6, 0x3}, {0x7, 0x7}, {0x1, 0x8}, {0x4, 0x1}, {0x4, 0xa}, {0x2, 0x4}, {0x6, 0x2}, {0x5, 0x5}, {0x6, 0x2}, {0x7, 0x8}, {0x2, 0x6}, {0x3, 0x7}, {0x3}, {0x3, 0x2}, {0x1, 0x3}, {0x6, 0x5}, {0x5, 0x8}]}, @NL80211_TXRATE_HT={0x3e, 0x2, [{0x5, 0x9}, {0x2, 0x9}, {0x1, 0xa}, {0x4, 0x9}, {0x1}, {0x6, 0x2}, {0x1, 0x5}, {0x6, 0x5}, {0x3, 0x5}, {0x3, 0x3}, {0x3, 0x9}, {0x0, 0x4}, {0x1, 0xa}, {0x0, 0x4}, {0x5, 0x1}, {0x6, 0x1}, {0x5, 0x9}, {0x3, 0x1}, {0x5, 0x7}, {0x1, 0x3}, {}, {0x4, 0x8}, {0x4, 0x1}, {0x3, 0x7}, {0x0, 0x7}, {0x2, 0x1}, {0x1, 0x8}, {0x7, 0x4}, {}, {0x4, 0x1}, {0x6, 0x7}, {0x0, 0x8}, {0x7, 0x1}, {0x0, 0x8}, {0x6, 0x5}, {0x6, 0x3}, {0x2}, {0x4, 0x9}, {0x2, 0x4}, {0x1, 0x8}, {0x1, 0x1}, {0x2, 0x6}, {0x4, 0x6}, {0x2, 0x9}, {0x7}, {0x1, 0x2}, {0x0, 0x9}, {0x6, 0x6}, {0x6, 0x9}, {0x7, 0x4}, {0x3, 0x1}, {0x5, 0x8}, {0x0, 0x4}, {0x7, 0x4}, {0x1, 0x9}, {0x5, 0xa}, {0x1, 0x5}, {0x0, 0xa}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x3d, 0x2, [{0x5, 0x1}, {0x1, 0x1}, {0x1, 0x8}, {0x5, 0x8}, {0x5, 0x7}, {0x6, 0x3}, {0x1, 0x1}, {0x5, 0x7}, {0x2, 0x4}, {0x0, 0x5}, {0x3, 0x1}, {0x1, 0x2}, {0x1, 0x6}, {0x3, 0x7}, {0x1, 0x9}, {0x3}, {0x1, 0x3}, {0x7, 0x1}, {0x0, 0x4}, {0x0, 0x4}, {0x7, 0x7}, {0x2, 0x1}, {0x4, 0x2}, {0x4, 0x8}, {0x0, 0x2}, {0x7, 0x6}, {0x5, 0x8}, {0x5, 0x7}, {0x0, 0x7}, {0x6, 0x2}, {0x0, 0x8}, {0x1, 0x2}, {0x3, 0x7}, {0x1, 0x3}, {0x0, 0x8}, {0x4, 0x5}, {0x7, 0x8}, {0x6}, {0x1, 0x8}, {0x4, 0x8}, {0x7, 0x6}, {0x4, 0x5}, {0x4, 0x8}, {0x6, 0x7}, {0x2, 0x8}, {0x2, 0x3}, {0x7, 0x9}, {0x3, 0x7}, {0x3, 0x5}, {0x1, 0x5}, {0x7, 0xa}, {0x1, 0x4}, {0x0, 0x5}, {0x3, 0x1}, {0x1, 0x1}, {0x5}, {0x3, 0x5}]}]}, @NL80211_BAND_2GHZ={0xc, 0x0, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5}]}, @NL80211_BAND_5GHZ={0x70, 0x1, 0x0, 0x1, [@NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x6, 0x9, 0x81, 0x7f, 0x8, 0x18, 0x8, 0x40]}}, @NL80211_TXRATE_HT={0x4e, 0x2, [{0x5, 0x1}, {0x1, 0x8}, {0x5, 0x9}, {0x7, 0x2}, {0x3, 0x2}, {0x2, 0x3}, {0x4, 0x6}, {0x0, 0x1}, {0x6, 0x9}, {0x1, 0x2}, {0x7, 0x1}, {0x4, 0xa}, {0x6, 0x6}, {0x1, 0x2}, {0x0, 0x1}, {0x2}, {0x1, 0x1}, {0x1, 0x8}, {0x1}, {0x2, 0x8}, {0x1}, {0x2, 0x6}, {0x1, 0x5}, {0x0, 0x6}, {0x1}, {0x2, 0x1}, {0x4, 0x4}, {0x6, 0x2}, {0x6, 0x7}, {0x2, 0x5}, {0x0, 0x5}, {0x2, 0x6}, {0x1, 0x4}, {0x3, 0x8}, {0x7, 0xa}, {0x4, 0x3}, {0x1, 0x1}, {0x3, 0x2}, {0x6, 0x1}, {0x2, 0x6}, {0x1, 0xa}, {0x4, 0x8}, {0x0, 0x1}, {0x7, 0x5}, {0x3, 0x5}, {0x6, 0x4}, {0x0, 0x1}, {0x4, 0x6}, {0x7, 0x6}, {0x5, 0x1}, {0x5}, {0x5, 0x3}, {0x5, 0x7}, {0x5, 0x7}, {0x5, 0x8}, {0x7, 0x2}, {0x5}, {0x1, 0x1}, {0x2, 0x2}, {0x3, 0x6}, {0x1, 0x2}, {0x0, 0xa}, {0x0, 0x1}, {0x4, 0x6}, {0x4, 0x9}, {0x1, 0x3}, {0x2, 0xa}, {0x6, 0x9}, {0x4, 0x7}, {0x2, 0x4}, {0x2, 0x8}, {0x6, 0x2}, {0x1, 0x8}, {0x5, 0x5}]}]}, @NL80211_BAND_5GHZ={0xa4, 0x1, 0x0, 0x1, [@NL80211_TXRATE_HT={0x2c, 0x2, [{0x1, 0x9}, {0x4, 0x5}, {0x1, 0x8}, {0x4, 0x6}, {0x0, 0x4}, {0x6, 0x4}, {0x0, 0x3}, {0x1, 0x5}, {0x3, 0x7}, {0x1, 0x2}, {0x7, 0xa}, {0x5, 0x3}, {0x0, 0x6}, {0x1, 0xa}, {0x0, 0x6}, {0x0, 0x4}, {0x3, 0x6}, {0x1}, {0x6, 0x2}, {0x6, 0xa}, {0x4, 0x9}, {0x1, 0x1}, {0x1, 0x9}, {0x1, 0x1}, {0x7}, {0x5, 0x1}, {0x2, 0x5}, {0x6}, {0x6, 0x2}, {0x7, 0x11}, {0x3, 0x5}, {0x1, 0x9}, {0x1}, {0x0, 0x2}, {0x0, 0x5}, {0x5, 0x1}, {0x3}, {0x6}, {0x3, 0x4}, {}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x2}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x0, 0x1, 0x5a5f, 0x2944, 0x7f, 0x0, 0x2, 0x200]}}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0x8, 0x7, 0x7, 0x0, 0x1, 0x8, 0x400, 0x100]}}, @NL80211_TXRATE_HT={0x41, 0x2, [{0x5, 0x6}, {0x5, 0xa}, {0x6, 0x6}, {0x5}, {0x7, 0x2}, {0x1, 0x6}, {0x5, 0x6}, {0x0, 0x6}, {0x2, 0x8}, {0x6, 0x6}, {0x0, 0x1}, {0x0, 0x9}, {0x5, 0x2}, {0x4}, {0x1, 0x2}, {0x4, 0x3}, {0x7, 0x6}, {0x0, 0xa}, {0x2, 0x6}, {0x1, 0x1}, {0x0, 0x6}, {0x0, 0x3}, {0x6, 0x5}, {0x3, 0x7}, {0x6, 0x6}, {0x7, 0x3}, {0x3, 0x1}, {0x0, 0x7}, {0x2, 0x5}, {0x7}, {0x0, 0x8}, {0x2, 0x5}, {0x6, 0x7}, {0x0, 0x6}, {0x6, 0x8}, {0x4, 0x6}, {0x3, 0x3}, {0x4, 0x5}, {0x2, 0x5}, {0x3, 0x7}, {0x0, 0x8}, {0x2, 0x5}, {0x5, 0x2}, {0x0, 0xa}, {0x1, 0x3}, {0x3, 0xa}, {0x3, 0x4}, {0x0, 0x3}, {0x2, 0x7}, {0x4, 0x5}, {0x4, 0x4}, {0x6, 0x4}, {0x6}, {0x2, 0x7}, {0x5, 0x4}, {0x4, 0x7}, {0x2, 0x5}, {0x3}, {0x5}, {0x7, 0x8}, {0x3, 0x2}]}]}, @NL80211_BAND_6GHZ={0x20, 0x3, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0x4, 0x2, 0xcfe9, 0x7, 0x5, 0x401, 0xeb, 0x1]}}, @NL80211_TXRATE_GI={0x5}]}]}, @NL80211_ATTR_TX_RATES={0x60, 0x5a, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x30, 0x0, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x7, 0x1, [0x1, 0x6c, 0x3]}, @NL80211_TXRATE_HT={0x12, 0x2, [{0x5, 0x6}, {0x2}, {0x5, 0x7}, {0x4, 0x5}, {0x6, 0xa}, {0x7}, {0x7, 0x4}, {0x2, 0x4}, {0x1, 0x9}, {0x7, 0x1}, {0x4, 0x4}, {0x7, 0x1}, {0x0, 0x8}, {0x7, 0x8}]}, @NL80211_TXRATE_GI={0x5, 0x4, 0x1}, @NL80211_TXRATE_HT={0x8, 0x2, [{0x5, 0x8}, {0x1, 0x2}, {0x4, 0x5}, {0x2, 0x9}]}]}, @NL80211_BAND_5GHZ={0x2c, 0x1, 0x0, 0x1, [@NL80211_TXRATE_LEGACY={0x13, 0x1, [0x36, 0x24, 0x6c, 0x3, 0x60, 0x4, 0x4, 0x1b, 0x1b, 0x4, 0x1, 0x60, 0x2, 0x4, 0x6]}, @NL80211_TXRATE_VHT={0x14, 0x3, {[0xf42, 0x9, 0x0, 0x5d, 0x4, 0x1ff, 0x3, 0x5]}}]}]}, @NL80211_ATTR_TX_RATES={0x1c, 0x5a, 0x0, 0x1, [@NL80211_BAND_2GHZ={0x18, 0x0, 0x0, 0x1, [@NL80211_TXRATE_VHT={0x14, 0x3, {[0xb88, 0x5, 0x401, 0x1, 0x1, 0x4, 0x34b, 0xffff]}}]}]}]}, 0x754}, 0x1, 0x0, 0x0, 0x4080}, 0x80) fchmod(r2, 0x89) sendmsg$inet6(0xffffffffffffffff, &(0x7f0000002100)={&(0x7f0000001880)={0xa, 0x4e21, 0x3, @loopback, 0x80}, 0x1c, &(0x7f0000001f00)=[{&(0x7f00000018c0)="592c82fb5a9a104efdde5a60edd3ce3b1218fa7379302b2d2b78e20b41da8672eb77e3ac016ca25f40fc2985a690e673a3dccf23efd6b2aea9c0ff4110a58846dc0784444968503e36578caec235293acd1e33fadc477aea35ab6b7b29957a546c4a16e70d08bfad3f3db3d37a464a2fc53cf49f4d417e9bd056b62450d3ba9c2c1cd6edc38303b0ba21af38d25bc976e7d9eee7a7c770455bd499469f5e44a07428d1e18fe7", 0xa6}, {&(0x7f0000001980)="0b8e1b8361791219cfee190fb2420d29632f657e9f5ae417e7f114d647ded55ca13291feffc52490ee8910347ba7de4d9e0d7ada1c2a2225cd318448f66bb2e70e5375ac05e4545e1d0d904082a60920de05063529f44177f891076ffe7f509195e2878b8adb82ca3286b9bd508b4cb46debd265bf982b1eafb3529935b4fb2ff2bbc4f66b2ef1878ec296905ce157d2a3c11dc043bf6766ebd2ba7118fb7bb205dd91bce9beddb2346e8e7f4653be08158a8dad14390ae1a774bacaf8f81d2495e8f64b16779b2cd762913b060a6162c7e66e2ff194a046ca", 0xd9}, {&(0x7f0000001a80)="6312924ed007f38d190b622d", 0xc}, {&(0x7f0000001ac0)="461e6db433c9ea5cf2c59ad790c405dfb771874ca91a2e365a63bdf0910a9c5bc15c9c6372328e437bb1e373663f630080a44734b7b41419a8832c345ad6fcb9f60203f09fc6260917e252b214d0bb511d7a6ea7e8a9e080eb475f1b5303cb2128dc0302f85a7ed94bfeac45e2258dcf65980a9b973c65669067a050f1d545876db55c7a26f5340f47200ff6d747e3bec2a0bd8b026ab55391db5cc50cd33b7a42ab579df054aebd8ae84efa3b417ecbf0", 0xb1}, {&(0x7f0000001b80)="a78145", 0x3}, {&(0x7f0000001bc0)="377b11f626120c475aadfaa965393f828f18093430c8299095509c637577607c4f4c23db1aedeaf626239606a12ad0d28962130f8463fedd654b5e8c4d8cff1665387d16ee8182bf12bb524e1118981560e769b2ca061a965da40bbf78ac911b0067f6c3bfe227315cbcf7159557cbd4b82a3aa7f2a963810adca126060989c5e1a8", 0x82}, {&(0x7f0000001c80)="b041ff40188a6dd865ed6320585031c4c03cf9b3be124ffff965e1583a8c03b863188dc0c97c82ef80de30e7d34325e55b09a1d9dc0d8776013ac410c614d03e9d82a7a633ffc6a1e406ea4bb737e3ea1ccf4b8bb81b5886320f0c45a0e56157183a6a7f0a2910e49070bcfd82923d578471ad5d3eab43c95bc26e3cac1849d2be4e97f0fae1ac887bb50dc3af73a2fe95108c97ec42dc30f0c41a05594b90eeed18b4dd4abd8735db37f9a76dfbd62db4239ff1551651cb9895ffc311f0d5a514bfdf3538ee1fa90dd0be7dcb234fd060997e3bc5c130dfce0157f2131bd7", 0xdf}, {&(0x7f0000001d80)="6d5465b9954d25c0ca25e20e6a7e89da1f4f8152e00be06e426fe4029d392db06ee36da3879535fa1d6627734207eb1353918ee89160667ff8c54ede0ee20adfaaade688fcabf1beffb8e8ff91", 0x4d}, {&(0x7f0000001e00)="a0d96ae4aab849e49c2078dee080a6b7a6044c0e9bcaf1b21d38770677d1be41c9db5de813e04a67321fa68e349ce01ed33c2a7f42629d1462bf5971a1b27493a81168b40a90cfd7d0d750855e5f806074af5699291d15596fb31fd1a519375658338737471319e2565db484b92a6b4b6d06bde177ec7811d311dca12e4fb18dd540dfb473dbc7b793beb34137506f607b3ce1aac50f5681e3f9ff536fa196fb99e2dcba34abc290073a8fc14a61dca7f42c356e27691e50916ffc484ba06adae3bef0c92f0daea1101649bd2958687f0143e588f4", 0xd5}], 0x9, &(0x7f0000001fc0)=[@dontfrag={{0x14, 0x29, 0x3e, 0x7ff}}, @rthdrdstopts={{0x28, 0x29, 0x37, {0x33, 0x2, '\x00', [@jumbo={0xc2, 0x4, 0xffff}, @jumbo={0xc2, 0x4, 0x100}, @padn={0x1, 0x2, [0x0, 0x0]}]}}}, @rthdr={{0x88, 0x29, 0x39, {0x6, 0xe, 0x1, 0x4, 0x0, [@private0={0xfc, 0x0, '\x00', 0x1}, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x02', @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', @remote, @mcast2, @rand_addr=' \x01\x00', @initdev={0xfe, 0x88, '\x00', 0x0, 0x0}]}}}, @dstopts={{0x28, 0x29, 0x37, {0x0, 0x2, '\x00', [@ra={0x5, 0x2, 0x5fc}, @padn={0x1, 0x7, [0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0]}, @pad1]}}}, @rthdr_2292={{0x38, 0x29, 0x39, {0x1d, 0x4, 0x1, 0x0, 0x0, [@ipv4={'\x00', '\xff\xff', @multicast1}, @private1]}}}], 0x128}, 0x40000) 08:11:33 executing program 7: syz_genetlink_get_family_id$mptcp(&(0x7f0000000000), 0xffffffffffffffff) sendmsg$TIPC_NL_KEY_FLUSH(0xffffffffffffffff, &(0x7f0000000480)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000440)={&(0x7f0000000080)={0x38c, 0x0, 0x400, 0x70bd2b, 0x25dfdbfc, {}, [@TIPC_NLA_PUBL={0x1c, 0x3, 0x0, 0x1, [@TIPC_NLA_PUBL_TYPE={0x8, 0x1, 0xffffffff}, @TIPC_NLA_PUBL_TYPE={0x8, 0x1, 0x100}, @TIPC_NLA_PUBL_TYPE={0x8, 0x1, 0x8}]}, @TIPC_NLA_PUBL={0x24, 0x3, 0x0, 0x1, [@TIPC_NLA_PUBL_UPPER={0x8, 0x3, 0xb08}, @TIPC_NLA_PUBL_UPPER={0x8, 0x3, 0xfffffffd}, @TIPC_NLA_PUBL_TYPE={0x8, 0x1, 0x1}, @TIPC_NLA_PUBL_TYPE={0x8, 0x1, 0x5}]}, @TIPC_NLA_SOCK={0x2c, 0x2, 0x0, 0x1, [@TIPC_NLA_SOCK_REF={0x8, 0x2, 0x7ff}, @TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x9}, @TIPC_NLA_SOCK_CON={0x14, 0x3, 0x0, 0x1, [@TIPC_NLA_CON_NODE={0x8, 0x2, 0x8}, @TIPC_NLA_CON_FLAG={0x8, 0x1, 0x2}]}, @TIPC_NLA_SOCK_HAS_PUBL={0x4}]}, @TIPC_NLA_MON={0x34, 0x9, 0x0, 0x1, [@TIPC_NLA_MON_REF={0x8, 0x2, 0xfffff800}, @TIPC_NLA_MON_REF={0x8, 0x2, 0x10000}, @TIPC_NLA_MON_REF={0x8, 0x2, 0x5}, @TIPC_NLA_MON_ACTIVATION_THRESHOLD={0x8}, @TIPC_NLA_MON_ACTIVATION_THRESHOLD={0x8, 0x1, 0x80000001}, @TIPC_NLA_MON_REF={0x8, 0x2, 0x40}]}, @TIPC_NLA_SOCK={0x28, 0x2, 0x0, 0x1, [@TIPC_NLA_SOCK_REF={0x8, 0x2, 0xd01f}, @TIPC_NLA_SOCK_HAS_PUBL={0x4}, @TIPC_NLA_SOCK_REF={0x8, 0x2, 0x4}, @TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x9}, @TIPC_NLA_SOCK_ADDR={0x8, 0x1, 0x7}]}, @TIPC_NLA_NET={0x60, 0x7, 0x0, 0x1, [@TIPC_NLA_NET_NODEID_W1={0xc, 0x4, 0x4}, @TIPC_NLA_NET_ID={0x8, 0x1, 0xff}, @TIPC_NLA_NET_ID={0x8, 0x1, 0x81}, @TIPC_NLA_NET_ADDR={0x8, 0x2, 0x2}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0x3}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0x1310}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0x7fffffff}, @TIPC_NLA_NET_NODEID={0xc, 0x3, 0x7d7}, @TIPC_NLA_NET_ADDR={0x8, 0x2, 0x7fff}]}, @TIPC_NLA_NODE={0x184, 0x6, 0x0, 0x1, [@TIPC_NLA_NODE_ID={0x1d, 0x3, "c522dba1d1ed02f6d4d6e68b36fcfedfc837842eba92e19c5d"}, @TIPC_NLA_NODE_KEY={0x4b, 0x4, {'gcm(aes)\x00', 0x23, "191d88f1aa5cf78ef7f960ef91d71ef75dc5ad75a2c9d5cdea92b10e8e633d6319321b"}}, @TIPC_NLA_NODE_UP={0x4}, @TIPC_NLA_NODE_KEY={0x3c, 0x4, {'gcm(aes)\x00', 0x14, "a7fa2b77a0a53a63139ddd84a423db0323b5482c"}}, @TIPC_NLA_NODE_ADDR={0x8, 0x1, 0x6}, @TIPC_NLA_NODE_ID={0xc9, 0x3, "0a55232ad2270a3df4675d6271b82363fe203371dc1e227fd4f30f08d2134ed341e90edea3173203ca5da671c01fb76352f389219ec12db83c205e262962fc9b0ce9bd76a10a0e728a7b22f22870f9f28d01725fb0538c1562860eec0ddcc103e5474aa63da55170df35b0dc9dc7dbeefbbc5770c7221554b615d5d942e9688ba599c84193dd93eb41c451403d16051b70036459766c6170b51560ddf9685356bfa82bbe47161d276c67730357d9b19d9eff14b8bbce8d781ec27c925daa79b07b5cda08bf"}]}, @TIPC_NLA_MEDIA={0xcc, 0x5, 0x0, 0x1, [@TIPC_NLA_MEDIA_PROP={0x54, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_MTU={0x8, 0x4, 0x1000}, @TIPC_NLA_PROP_WIN={0x8}, @TIPC_NLA_PROP_PRIO={0x8, 0x1, 0x14}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0x6}, @TIPC_NLA_PROP_PRIO={0x8, 0x1, 0xb}, @TIPC_NLA_PROP_PRIO={0x8, 0x1, 0xe}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0x8}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x8}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x4}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0xffffffff}]}, @TIPC_NLA_MEDIA_PROP={0x24, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_MTU={0x8, 0x4, 0x6b}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0x7}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0xc2a}, @TIPC_NLA_PROP_PRIO={0x8, 0x1, 0x1c}]}, @TIPC_NLA_MEDIA_PROP={0x34, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_MTU={0x8, 0x4, 0xfd}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x808}, @TIPC_NLA_PROP_WIN={0x8}, @TIPC_NLA_PROP_MTU={0x8, 0x4, 0x8c}, @TIPC_NLA_PROP_WIN={0x8, 0x3, 0x5b5}, @TIPC_NLA_PROP_TOL={0x8, 0x2, 0x8}]}, @TIPC_NLA_MEDIA_NAME={0x8, 0x1, 'eth\x00'}, @TIPC_NLA_MEDIA_NAME={0x7, 0x1, 'ib\x00'}, @TIPC_NLA_MEDIA_PROP={0xc, 0x2, 0x0, 0x1, [@TIPC_NLA_PROP_WIN={0x8, 0x3, 0x2}]}]}]}, 0x38c}, 0x1, 0x0, 0x0, 0x40}, 0x30000801) sendmsg$IPVS_CMD_SET_CONFIG(0xffffffffffffffff, &(0x7f0000000580)={&(0x7f00000004c0)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000540)={&(0x7f0000000500)={0x40, 0x0, 0x4, 0x70bd2c, 0x25dfdbfb, {}, [@IPVS_CMD_ATTR_TIMEOUT_TCP={0x8}, @IPVS_CMD_ATTR_SERVICE={0x14, 0x1, 0x0, 0x1, [@IPVS_SVC_ATTR_NETMASK={0x8, 0x9, 0x6e}, @IPVS_SVC_ATTR_NETMASK={0x8, 0x9, 0x4d}]}, @IPVS_CMD_ATTR_TIMEOUT_TCP={0x8, 0x4, 0x1ff}, @IPVS_CMD_ATTR_TIMEOUT_TCP_FIN={0x8, 0x5, 0x400}]}, 0x40}, 0x1, 0x0, 0x0, 0x48800}, 0x0) ioctl$AUTOFS_DEV_IOCTL_CATATONIC(0xffffffffffffffff, 0xc0189379, &(0x7f00000005c0)={{0x1, 0x1, 0x18, 0xffffffffffffffff}, './file0\x00'}) sendmsg$NL80211_CMD_CHANGE_NAN_CONFIG(r0, &(0x7f00000006c0)={&(0x7f0000000600)={0x10, 0x0, 0x0, 0x10}, 0xc, &(0x7f0000000680)={&(0x7f0000000640)={0x1c, 0x0, 0x200, 0x70bd25, 0x25dfdbfb, {{}, {@void, @void}}, [@NL80211_ATTR_NAN_MASTER_PREF={0x5, 0xee, 0xff}]}, 0x1c}, 0x1, 0x0, 0x0, 0x4000000}, 0x40) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000700)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$BTRFS_IOC_BALANCE_PROGRESS(r0, 0x84009422, &(0x7f0000000740)={0x0, 0x0, {0x0, @struct, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @struct}, {0x0, @usage, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @struct}, {0x0, @struct}}) ioctl$BTRFS_IOC_GET_DEV_STATS(r1, 0xc4089434, &(0x7f0000000b40)={r2, 0x1, 0x1, [0x20, 0x2, 0x7, 0x0, 0xfffffffffffffffd], [0xffffffff, 0x1fba00, 0x4, 0x7, 0xfff, 0x1000, 0x4, 0xe58, 0x1, 0x80000000, 0x7, 0x7dd4b41, 0x800, 0xffffffffffff0000, 0x8, 0xba00, 0x8f, 0x7, 0x66, 0x7fff, 0x80, 0x7, 0x80, 0x9, 0x7fffffff, 0x6, 0x2, 0x4, 0x6, 0x5, 0x100, 0x8, 0x100000000, 0x0, 0x0, 0x3, 0x90f, 0xab3, 0x5, 0x960, 0x400, 0x0, 0x7fffffff, 0x2, 0x7, 0x100, 0x8, 0x3, 0xec7f, 0x1, 0xffffffffffffffff, 0x1, 0x1, 0xfff, 0x9, 0x5, 0x6, 0x101, 0x3b6, 0x599, 0x7, 0x2, 0xffffffffffffffff, 0x100000001, 0x4, 0x8, 0x3, 0x9, 0x1, 0x7fffffff, 0x7, 0xfff, 0x800, 0x1f, 0x1, 0xfcd, 0xc5, 0x0, 0xfc00000000000000, 0x0, 0x6, 0x8, 0x2, 0x9, 0x0, 0x6, 0x4, 0x3, 0x8, 0x9d, 0x3, 0xd0, 0x94, 0x6f6, 0x7fff, 0x0, 0xfffffffffffffffe, 0x1, 0xde, 0x4000000000000000, 0x0, 0xd712, 0x10001, 0x80000000, 0x656, 0x0, 0x623cb953, 0x9, 0x5, 0x4, 0xb8, 0xaace, 0x3, 0x2, 0x9, 0x8, 0x3, 0x3, 0xeb, 0x6, 0xb0]}) fcntl$setlease(r0, 0x400, 0x2) fsetxattr$trusted_overlay_redirect(r0, &(0x7f0000000f80), &(0x7f0000000fc0)='./file0\x00', 0x8, 0x0) r3 = signalfd4(r1, &(0x7f0000001000)={[0x101]}, 0x8, 0x40800) ioctl$FS_IOC_ENABLE_VERITY(r3, 0x40806685, &(0x7f00000011c0)={0x1, 0x2, 0x1000, 0xd4, &(0x7f0000001040)="47dff1e7467cfca8affae4c123e3a9b32dbc0a01d9eea401966190eb351fc139b22ae628fc38799fb1b5ceda94793fedabd9d14eec69f053825eba887440ade45b20ed3a8838d1f4dd7de5025b8b679b828ac11f7bb6fbcda292730ebc5057ac1c835a3b4f3c44e9466845081600a13a95010299bc21d1443660239a63c28c636e63cafeb694071623def2a7f78f1cd4442d4c7bf14947ab2b7f204e595fca3186d81a347fd8a9768ce8ef095ab54632e783bf88231e45bc0fdcdabdec7d8a5e8b061cd84736b5494a0a5f222e8c9bb5629aab61", 0x48, 0x0, &(0x7f0000001140)="cfe284d170060018eb9eedc625d2439da440b4e8e62dd940777d95e9b0c5e2bc5122d33c25e740c0ad2c0e47860120e7d357643a272ec72cd06121ac5f3835ec9728b0000a0ff540"}) ioctl$AUTOFS_DEV_IOCTL_CLOSEMOUNT(r0, 0xc0189375, &(0x7f0000001240)={{0x1, 0x1, 0x18, r1}, './file0\x00'}) sendmsg$IPCTNL_MSG_CT_GET_CTRZERO(r4, &(0x7f0000001380)={&(0x7f0000001280)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f0000001340)={&(0x7f00000012c0)={0x78, 0x3, 0x1, 0x101, 0x0, 0x0, {0x7, 0x0, 0x4}, [@CTA_TUPLE_REPLY={0x10, 0x2, 0x0, 0x1, [@CTA_TUPLE_PROTO={0xc, 0x2, 0x0, 0x1, {0x5, 0x1, 0x11}}]}, @CTA_SYNPROXY={0x54, 0x18, 0x0, 0x1, [@CTA_SYNPROXY_ISN={0x8, 0x1, 0x1, 0x0, 0x401}, @CTA_SYNPROXY_ISN={0x8, 0x1, 0x1, 0x0, 0x8}, @CTA_SYNPROXY_TSOFF={0x8, 0x3, 0x1, 0x0, 0xd6}, @CTA_SYNPROXY_ISN={0x8, 0x1, 0x1, 0x0, 0x3ff}, @CTA_SYNPROXY_ITS={0x8, 0x2, 0x1, 0x0, 0x789}, @CTA_SYNPROXY_ISN={0x8, 0x1, 0x1, 0x0, 0xffffffff}, @CTA_SYNPROXY_ISN={0x8, 0x1, 0x1, 0x0, 0x28}, @CTA_SYNPROXY_ISN={0x8, 0x1, 0x1, 0x0, 0xde7d}, @CTA_SYNPROXY_TSOFF={0x8, 0x3, 0x1, 0x0, 0x7fffffff}, @CTA_SYNPROXY_ITS={0x8, 0x2, 0x1, 0x0, 0x4}]}]}, 0x78}, 0x1, 0x0, 0x0, 0x801}, 0x0) r5 = open_tree(r4, &(0x7f00000013c0)='./file1\x00', 0x1) r6 = creat(&(0x7f0000001400)='./file0\x00', 0x188) ioctl$AUTOFS_DEV_IOCTL_FAIL(r5, 0xc0189377, &(0x7f0000001440)={{0x1, 0x1, 0x18, r6, {0x0, 0x3}}, './file1\x00'}) getsockopt$inet_IP_IPSEC_POLICY(r5, 0x0, 0x10, &(0x7f0000001540)={{{@in6=@mcast1, @in6=@private1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in=@local}, 0x0, @in=@private}}, &(0x7f0000001640)=0xe8) mount$9p_unix(&(0x7f0000001480)='./file0\x00', &(0x7f00000014c0)='./file0\x00', &(0x7f0000001500), 0x1008008, &(0x7f0000001680)={'trans=unix,', {[{@cache_mmap}, {@version_u}, {@dfltuid={'dfltuid', 0x3d, r7}}, {@cache_none}, {@dfltgid}, {@nodevmap}], [{@fscontext={'fscontext', 0x3d, 'user_u'}}, {@smackfsfloor={'smackfsfloor', 0x3d, ')['}}]}}) write$binfmt_script(0xffffffffffffffff, &(0x7f0000001740)={'#! ', './file1', [{0x20, 'cache=none'}, {0x20, '.-'}, {}, {0x20, 'nodevmap'}], 0xa, "686065e462a7b2e70da44cbf150eaa220b63db54da608e98a8ab58e2097754202ca7904669b26297b49451f7d1275a8853bb736027f79a631c6548"}, 0x5e) [ 104.127467] audit: type=1400 audit(1698567093.810:6): avc: denied { execmem } for pid=276 comm="syz-executor.0" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=process permissive=1 08:11:34 executing program 6: fallocate(0xffffffffffffffff, 0x1, 0x7d6, 0x8) ioctl$BTRFS_IOC_TREE_SEARCH(0xffffffffffffffff, 0xd0009411, &(0x7f0000000000)={{0x0, 0x19f, 0x47, 0x100000001, 0x7, 0x7f, 0x4, 0x8, 0x400, 0x40, 0x8, 0x0, 0x3, 0x80000000, 0x7fff}}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(0xffffffffffffffff, 0xd000943e, &(0x7f0000001000)={0x0, 0x0, "895b7537225b60694db05e2de1d013cc4bedcac26642e07fc02eecfadbadad8d78e2d817969c5c1825d7139d860b4e18d68c47354800576e91730ac0634e48f6d108c0655a4febf6db37591d4a1f990deee30fd0f4ccfbf57cded029d85792a3d6908c975c30e3fe79fa829c013076e2d255d38deda4afc301cc6bac766d4ad1a288b9f6a675cb4ba9e963375322e9b8ad9d0143c834e27c1d95339a2fe4c510259fcf0b3011fe549dc516f55ec1c0ec2653b5e09ebdee0b15eb3209f1696030ed583966c6a75fad77c2341aac914f1b2fa91b63302858831a0d5368fe52ff26dd367adff11ffe3d06c51703a189e821277396094892a33a999c238f8eff390a", "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"}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(0xffffffffffffffff, 0xd000943e, &(0x7f0000002000)={0x0, 0x0, "d5864a8ee274e8ed4b19fb148e7433bf3959c7671907c159c814e6474bd78e3beae1123d631df4c56056ec3c5bce2fc687c596f5380676b3b40f5d5a107940d52c642183eecb31eacb188cb0cd6bcc4bcbac86c7ca92ce43d6d9c961a7d7572f6b815e3c036313ad0e04e1cd9bd177a63499f9360512448fb125a0b7377cea50f6a7d44b4e829c23366b0107b0d0d079ff47db59c7b61e6a3e6fa118ec29c7211e6a6addacdfb80fea2ed44ee075c6fa7173dc56a50330097b038f3d54606da89f7b9c9cc719df7d9165ede95595a367556a2bb0c96105afbdda37171f70637bdd99430f2ed4ca5c3e645fbc32b48cba7d96f68831c562704a8d33b994f40f25", "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"}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000003000)={0x0}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000003200)={0x2cd, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, 0x0}], 0x2, "fb7ffc3f1e3902"}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(0xffffffffffffffff, 0xd000943e, &(0x7f0000004200)={0x0, 0x0, "44e8848fa73d26051414052148ccf5c981eb874ea4d93d0fe80e8e893fb9bc27b4ed0d31a888efce9a11643fa388c05d9746aabd6395fc7774337e98923d3fdd481b34f23b977ca0e12403ef6121a07e8dc8a722e613a56ae6f61dc1844f9d6fee8a4e39aa880b39a3d04a3441a202055db6890232eb0126bde31674936a223bf317eb76ea5546c4e255d5de9748fbdd797b87c00bb7a829c0af59aaaa205f311ca3d309ba2b6ee76f8cbb5d04746bebecef20fb30a865026efdd63ad84ba0254364cd3952e24dbb0bc477d540d5f2fea0941abf681f9744acda5296f0278f0b182c2560bc597524017841a17a4cb4735893a097d62e33ab1c37da0899a188f6", "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"}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f0000005200)={0x0, ""/256, 0x0}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(0xffffffffffffffff, 0xd000943e, &(0x7f0000005400)={0x0, 0x0, "866719ae65378cfd9c136d42909c3274bab0a527de08352c16fb03415f175c0acbc0d30408edce81a5942f6adf5bb83655615126ef2f3cf03f036f02d00db1ecadf640a79faaa9712098e780ec6b87fcc6543c99a4602dcd2e07e0a974850ed0a33a8cb320b82b82c7ac541d453f896954be498bd60c5b3bb813027b5454864b0347a18c822c121f41d8d19638fa501401a82216443ad7d7819a0f97a9cd65b933a0dc0c0919f84b3303f72e6d540c200118fe4226b50a7dcd8db24049da06e0a987b7cd9ee3089247cd5bfb132195dded4fdc0cb83584a2f012eefabe46a804cc66bb416b85e038e4e1d652b784e633dca37285239dccc2684fa50763c7f879", "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"}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000006400)={0x7ff, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, 0x0}], 0x5, "5ef755456cd73f"}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000007400)={0x10000, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0}], 0x81, "61bfc4c176f260"}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f0000008400)={0x85, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, 0x0}], 0x3, "407d6343698474"}) ioctl$BTRFS_IOC_TREE_SEARCH(0xffffffffffffffff, 0xd0009411, &(0x7f0000009400)={{0x0, 0x5, 0x2, 0x10000, 0x9, 0x2, 0xff, 0x3f, 0xaae0, 0x96, 0xf4, 0x6, 0xfffffffffffeffff, 0x4}}) ioctl$BTRFS_IOC_TREE_SEARCH(0xffffffffffffffff, 0xd0009411, &(0x7f000000a400)={{0x0, 0x0, 0x3, 0x8, 0x3, 0x7ff, 0x20, 0x94b8, 0x9, 0x1, 0x7, 0x55fe676d, 0x800, 0x3, 0x7f}}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f000000b400)={0x7, [{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {0x0, 0x0}], 0x2, "d9021c327e28a7"}) ioctl$BTRFS_IOC_TREE_SEARCH(0xffffffffffffffff, 0xd0009411, &(0x7f000000c400)={{0x0, 0x5, 0x8, 0x45d1, 0x8, 0xfffffffffffffffc, 0x400, 0xffffffff, 0x0, 0xba7, 0x9, 0xeaf, 0x2, 0x8, 0x2}}) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(0xffffffffffffffff, 0x81f8943c, &(0x7f000000d400)={0x0, ""/256, 0x0, 0x0}) ioctl$BTRFS_IOC_TREE_SEARCH_V2(0xffffffffffffffff, 0xc0709411, &(0x7f000000d600)={{0x0, 0x1, 0x20000000000, 0x2, 0xa200, 0x0, 0xfffffffffffffffb, 0x4, 0x8280, 0x6, 0x2, 0x2, 0x9, 0x7f, 0xca6}, 0x40, [0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0]}) ioctl$BTRFS_IOC_INO_LOOKUP_USER(0xffffffffffffffff, 0xd000943e, &(0x7f000000d6c0)={0x0, 0x0, "379107c30995c54fac3613165bfd275b08883407fe92d21bdf63ab13a77cc4643000760d7e5af4cfc082858f9c19db5625a0d5bc7c7d17fcbaeb9351ca25e3da02e4c7acbdf9d368d78eb382c1613bdfda9c690426d5b63d1048e7dfd1c0441bd102a1dd9bdbce9f757471e6ddb8b05d78f3e80f583fbbb45aaacaa08c9fb68429e7c00a6f83406e89becf772a935c50042330a8ab13f0cc2a53d58d59e9d924ea5f58ee95abdaa38e2108abb08e5bc50d1d38fdcbff5f4b2518bc2b4955ebc03109ee0e0e9cb2d8394803497b769a26f1f2c7833746b3d5985e4108991cb33f7855c2390215e3e7d95209d0c759f42226852c4e29b89aee990bcbef3e566aed", "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"}) ioctl$BTRFS_IOC_GET_SUBVOL_ROOTREF(0xffffffffffffffff, 0xd000943d, &(0x7f000008e800)={0x44d2, [{}, {r0, r1}, {}, {}, {}, {}, {}, {0x0, r2}, {}, {r3}, {0x0, r4}, {}, {0x0, r5}, {}, {r6}, {0x0, r7}, {0x0, r8}, {}, {}, {}, {}, {}, {r9, r10}, {}, {r11}, {r12, r13}, {r14, r15}, {}, {}, {}, {}, {r16}, {}, {0x0, r17}], 0x8a, "b37ed0d1143def"}) [ 107.398612] Bluetooth: hci1: command 0x0409 tx timeout [ 107.461123] Bluetooth: hci0: command 0x0409 tx timeout [ 107.462086] Bluetooth: hci2: command 0x0409 tx timeout [ 107.525090] Bluetooth: hci5: command 0x0409 tx timeout [ 107.525109] Bluetooth: hci4: command 0x0409 tx timeout [ 107.525733] Bluetooth: hci3: command 0x0409 tx timeout [ 107.527660] Bluetooth: hci6: command 0x0409 tx timeout [ 107.653126] Bluetooth: hci7: command 0x0409 tx timeout [ 109.445349] Bluetooth: hci1: command 0x041b tx timeout [ 109.510136] Bluetooth: hci2: command 0x041b tx timeout [ 109.512077] Bluetooth: hci0: command 0x041b tx timeout [ 109.573093] Bluetooth: hci6: command 0x041b tx timeout [ 109.573713] Bluetooth: hci3: command 0x041b tx timeout [ 109.574346] Bluetooth: hci4: command 0x041b tx timeout [ 109.575162] Bluetooth: hci5: command 0x041b tx timeout [ 109.701081] Bluetooth: hci7: command 0x041b tx timeout [ 111.493137] Bluetooth: hci1: command 0x040f tx timeout [ 111.557084] Bluetooth: hci0: command 0x040f tx timeout [ 111.557718] Bluetooth: hci2: command 0x040f tx timeout [ 111.621099] Bluetooth: hci5: command 0x040f tx timeout [ 111.621711] Bluetooth: hci4: command 0x040f tx timeout [ 111.622649] Bluetooth: hci3: command 0x040f tx timeout [ 111.623484] Bluetooth: hci6: command 0x040f tx timeout [ 111.750087] Bluetooth: hci7: command 0x040f tx timeout [ 113.541137] Bluetooth: hci1: command 0x0419 tx timeout [ 113.605130] Bluetooth: hci2: command 0x0419 tx timeout [ 113.605766] Bluetooth: hci0: command 0x0419 tx timeout [ 113.669131] Bluetooth: hci6: command 0x0419 tx timeout [ 113.670300] Bluetooth: hci3: command 0x0419 tx timeout [ 113.670912] Bluetooth: hci4: command 0x0419 tx timeout [ 113.671573] Bluetooth: hci5: command 0x0419 tx timeout [ 113.798086] Bluetooth: hci7: command 0x0419 tx timeout [ 120.892825] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 120.893997] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 120.895635] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.054127] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.054976] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.057443] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 121.117307] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.118461] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.120198] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.194010] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.194995] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.196141] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.243825] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.244696] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.247314] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready 08:11:50 executing program 2: rt_sigaction(0x12, &(0x7f00000000c0)={0x0, 0x0, 0x0}, 0x0, 0x8, &(0x7f0000000100)) epoll_pwait(0xffffffffffffffff, &(0x7f0000000040)=[{}, {}, {}], 0x3, 0x8, &(0x7f0000000180)={[0x1f]}, 0x8) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x10000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4000004}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, &(0x7f00000001c0)=ANY=[], 0xfdef) r0 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) fsetxattr$security_selinux(r0, &(0x7f0000000000), &(0x7f0000000080)='system_u:object_r:syslogd_var_lib_t:s0\x00', 0x27, 0x0) ioctl$RTC_WKALM_SET(r0, 0x4028700f, &(0x7f00000000c0)={0x1, 0x1, {0x24, 0x24, 0x1, 0x3, 0x2, 0xfe2d, 0x0, 0x1d, 0x1}}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x2000, 0x0) copy_file_range(r2, 0x0, r1, 0x0, 0x10001, 0x0) r3 = openat(r2, &(0x7f00000001c0)='./file1\x00', 0x2, 0x89) r4 = syz_io_uring_setup(0x3a75, &(0x7f0000000080), &(0x7f0000ffb000/0x3000)=nil, &(0x7f0000ffc000/0x1000)=nil, &(0x7f0000000200), &(0x7f0000000100)) io_uring_register$IORING_REGISTER_PERSONALITY(r4, 0x9, 0x0, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x100000e, 0x13, r4, 0x0) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0xf, 0x13, r4, 0x8000000) io_uring_enter(r4, 0x58ab, 0x0, 0x0, 0x0, 0x0) sendfile(0xffffffffffffffff, r4, 0x0, 0x3) setsockopt$inet6_IPV6_FLOWLABEL_MGR(r3, 0x29, 0x20, &(0x7f0000000140)={@private1={0xfc, 0x1, '\x00', 0x6}, 0x1000000, 0x2, 0xff, 0xc, 0x5e9e}, 0x20) unshare(0x48020200) [ 121.312400] audit: type=1400 audit(1698567111.001:7): avc: denied { open } for pid=3868 comm="syz-executor.2" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=perf_event permissive=1 [ 121.314713] audit: type=1400 audit(1698567111.001:8): avc: denied { kernel } for pid=3868 comm="syz-executor.2" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=perf_event permissive=1 [ 121.325832] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.325849] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.325906] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.327169] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 121.327905] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.331217] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.339021] audit: type=1400 audit(1698567111.026:9): avc: denied { associate } for pid=3868 comm="syz-executor.2" name="nvram" dev="devtmpfs" ino=99 scontext=system_u:object_r:syslogd_var_lib_t:s0 tcontext=system_u:object_r:device_t:s0 tclass=filesystem permissive=1 [ 121.346242] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.347014] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.348105] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.378086] hrtimer: interrupt took 17603 ns [ 121.385444] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.386450] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.387731] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 121.388213] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.389319] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.390796] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.422963] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.423816] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.425037] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.559352] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.560205] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.561198] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 121.569514] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.570409] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.578739] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 121.583417] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.584348] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.592949] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 121.624439] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.625245] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 121.626584] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 121.629095] nfs4: Unknown parameter '/dev/sr0' 08:11:51 executing program 5: creat(&(0x7f00000001c0)='./cgroup/cgroup.procs\x00', 0x0) statfs(&(0x7f0000000100)='./cgroup/cgroup.procs\x00', &(0x7f0000000280)=""/174) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x9, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={&(0x7f0000000040), 0xd}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = socket$inet_icmp_raw(0x2, 0x3, 0x1) r1 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) ioctl$sock_SIOCGIFINDEX(r1, 0x8933, &(0x7f0000000140)={'syz_tun\x00', 0x0}) ioctl$sock_SIOCGIFINDEX(0xffffffffffffffff, 0x8933, &(0x7f0000000140)={'syz_tun\x00'}) getsockopt$sock_cred(r1, 0x1, 0x11, &(0x7f00000000c0), &(0x7f0000000240)=0xc) sendmmsg$inet(r0, &(0x7f0000002040)=[{{&(0x7f0000000380)={0x2, 0x0, @multicast1}, 0x10, &(0x7f0000001600)=[{&(0x7f00000003c0)="db9c", 0xffe7}], 0x1, &(0x7f0000000400)=ANY=[@ANYBLOB="14000000f2ffffff000900000000000000f08e01000000001c0000002100000000000000080000c03d89512ac7f67eafee7f5bccb56aff575b73cf91222c98002122f00ddc2563b4e668afa8e2f175b08aa388e58282016b164d1513055af1dd93c6dfa25b562c9dbe40bb821b477cd3d1c0de57eee2129a7ae4a0d452ccdf69995b7b4dd07bda9aa187945e698400bf88c5136d4dbf7b7c6e691396777f1fdfadebba09f95e7a43b22e0765b926f7872888207d4a4e37", @ANYRES32=r2], 0x38}}], 0x1, 0x0) [ 121.659350] nfs4: Unknown parameter '/dev/sr0' [ 121.669836] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 121.670731] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 08:11:51 executing program 5: r0 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r0, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000340)=@updpolicy={0xb8, 0x19, 0x1, 0x0, 0x0, {{@in=@dev, @in6=@rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, 0xab}}}, 0xb8}}, 0x0) r1 = socket$nl_xfrm(0x10, 0x3, 0x6) sendmsg$nl_xfrm(r1, &(0x7f0000000300)={0x0, 0x0, &(0x7f00000002c0)={&(0x7f0000000340)=@updpolicy={0xb8, 0x19, 0x1, 0x0, 0x25dfdbfb, {{@in=@dev, @in6=@rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x01', 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, 0xab}}}, 0xb8}}, 0x0) setsockopt$netlink_NETLINK_ADD_MEMBERSHIP(r1, 0x10e, 0x1, &(0x7f0000000040)=0xc, 0x4) kcmp(0x0, 0xffffffffffffffff, 0x5, r0, r1) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x42, 0x1ff) ioctl$FS_IOC_FSSETXATTR(r2, 0x401c5820, &(0x7f0000000080)={0x78}) close(r2) clone3(&(0x7f00000008c0)={0x1040100, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) execveat(0xffffffffffffff9c, &(0x7f0000000000)='./file1\x00', 0x0, 0x0, 0x0) [ 121.788445] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 121.794346] process 'syz-executor.5' launched './file1' with NULL argv: empty string added [ 121.910251] 9pnet: p9_fd_create_unix (3946): problem connecting socket: ./file0: -111 [ 121.932926] 9pnet: p9_fd_create_unix (3954): problem connecting socket: ./file0: -111 [ 121.945848] SELinux: unrecognized netlink message: protocol=6 nlmsg_type=0 sclass=netlink_xfrm_socket pid=3956 comm=syz-executor.3 [ 122.773719] SELinux: unrecognized netlink message: protocol=6 nlmsg_type=0 sclass=netlink_xfrm_socket pid=3971 comm=syz-executor.3 08:12:06 executing program 3: rt_sigaction(0x12, &(0x7f00000000c0)={0x0, 0x0, 0x0}, 0x0, 0x8, &(0x7f0000000100)) epoll_pwait(0xffffffffffffffff, &(0x7f0000000040)=[{}, {}, {}], 0x3, 0x8, &(0x7f0000000180)={[0x1f]}, 0x8) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x10000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4000004}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, &(0x7f00000001c0)=ANY=[], 0xfdef) r0 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) fsetxattr$security_selinux(r0, &(0x7f0000000000), &(0x7f0000000080)='system_u:object_r:syslogd_var_lib_t:s0\x00', 0x27, 0x0) ioctl$RTC_WKALM_SET(r0, 0x4028700f, &(0x7f00000000c0)={0x1, 0x1, {0x24, 0x24, 0x1, 0x3, 0x2, 0xfe2d, 0x0, 0x1d, 0x1}}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x2000, 0x0) copy_file_range(r2, 0x0, r1, 0x0, 0x10001, 0x0) r3 = openat(r2, &(0x7f00000001c0)='./file1\x00', 0x2, 0x89) r4 = syz_io_uring_setup(0x3a75, &(0x7f0000000080), &(0x7f0000ffb000/0x3000)=nil, &(0x7f0000ffc000/0x1000)=nil, &(0x7f0000000200), &(0x7f0000000100)) io_uring_register$IORING_REGISTER_PERSONALITY(r4, 0x9, 0x0, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x100000e, 0x13, r4, 0x0) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0xf, 0x13, r4, 0x8000000) io_uring_enter(r4, 0x58ab, 0x0, 0x0, 0x0, 0x0) sendfile(0xffffffffffffffff, r4, 0x0, 0x3) setsockopt$inet6_IPV6_FLOWLABEL_MGR(r3, 0x29, 0x20, &(0x7f0000000140)={@private1={0xfc, 0x1, '\x00', 0x6}, 0x1000000, 0x2, 0xff, 0xc, 0x5e9e}, 0x20) unshare(0x48020200) 08:12:06 executing program 6: rt_sigaction(0x12, &(0x7f00000000c0)={0x0, 0x0, 0x0}, 0x0, 0x8, &(0x7f0000000100)) epoll_pwait(0xffffffffffffffff, &(0x7f0000000040)=[{}, {}, {}], 0x3, 0x8, &(0x7f0000000180)={[0x1f]}, 0x8) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x10000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4000004}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, &(0x7f00000001c0)=ANY=[], 0xfdef) r0 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) fsetxattr$security_selinux(r0, &(0x7f0000000000), &(0x7f0000000080)='system_u:object_r:syslogd_var_lib_t:s0\x00', 0x27, 0x0) ioctl$RTC_WKALM_SET(r0, 0x4028700f, &(0x7f00000000c0)={0x1, 0x1, {0x24, 0x24, 0x1, 0x3, 0x2, 0xfe2d, 0x0, 0x1d, 0x1}}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x2000, 0x0) copy_file_range(r2, 0x0, r1, 0x0, 0x10001, 0x0) r3 = openat(r2, &(0x7f00000001c0)='./file1\x00', 0x2, 0x89) r4 = syz_io_uring_setup(0x3a75, &(0x7f0000000080), &(0x7f0000ffb000/0x3000)=nil, &(0x7f0000ffc000/0x1000)=nil, &(0x7f0000000200), &(0x7f0000000100)) io_uring_register$IORING_REGISTER_PERSONALITY(r4, 0x9, 0x0, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x100000e, 0x13, r4, 0x0) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0xf, 0x13, r4, 0x8000000) io_uring_enter(r4, 0x58ab, 0x0, 0x0, 0x0, 0x0) sendfile(0xffffffffffffffff, r4, 0x0, 0x3) setsockopt$inet6_IPV6_FLOWLABEL_MGR(r3, 0x29, 0x20, &(0x7f0000000140)={@private1={0xfc, 0x1, '\x00', 0x6}, 0x1000000, 0x2, 0xff, 0xc, 0x5e9e}, 0x20) unshare(0x48020200) 08:12:06 executing program 0: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NLBL_MGMT_C_ADD(r0, &(0x7f0000000380)={0x0, 0x0, &(0x7f0000000340)={&(0x7f0000000780)=ANY=[@ANYBLOB="240000f2", @ANYRES16=0x0, @ANYBLOB="25760f18274601000000000000000000010000000e0001004e4c42"], 0x24}}, 0x0) syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') r1 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r1, &(0x7f0000000200)=[{&(0x7f0000000040)='3', 0x1}, {&(0x7f0000000100)}], 0x2) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x40a}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) connect$inet6(0xffffffffffffffff, &(0x7f0000000000)={0xa, 0x0, 0x0, @remote, 0x2}, 0x1c) readv(0xffffffffffffffff, &(0x7f0000000100)=[{&(0x7f0000000000)=""/170, 0xaa}], 0x1) r2 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r2, &(0x7f0000000200)=[{&(0x7f0000000040)='3', 0x1}, {&(0x7f0000000100)='5T', 0x2}], 0x2) accept4$packet(0xffffffffffffffff, &(0x7f00000000c0), &(0x7f0000000140)=0x14, 0x80800) ftruncate(0xffffffffffffffff, 0x0) unshare(0x48020200) 08:12:06 executing program 4: mlock2(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0) r0 = perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x1, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, @perf_config_ext={0x8}, 0x0, 0x0, 0x0, 0x0, 0x9078, 0x0, 0x9}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) mbind(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x4000, 0x0, 0x0, 0x3) r1 = pkey_alloc(0x0, 0x2) pkey_mprotect(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x0, r1) pkey_mprotect(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x2, r1) ioctl$AUTOFS_DEV_IOCTL_PROTOSUBVER(0xffffffffffffffff, 0xc0189373, &(0x7f0000000140)={{0x1, 0x1, 0x18, r0, {0x7}}, './file0\x00'}) perf_event_open(0x0, 0x0, 0x4, r2, 0x0) pkey_mprotect(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x8, 0xffffffffffffffff) pkey_mprotect(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x1, 0xffffffffffffffff) r3 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r4 = socket$inet6_tcp(0xa, 0x1, 0x0) creat(&(0x7f0000000100)='./file0\x00', 0x26) kcmp(0xffffffffffffffff, 0x0, 0x6, r0, 0xffffffffffffffff) setsockopt$sock_int(r4, 0x1, 0x12, &(0x7f0000000200)=0x33e0, 0x4) pkey_alloc(0x0, 0x1) setsockopt$inet6_tcp_int(r4, 0x6, 0x6, &(0x7f0000000040)=0x81, 0x4) bind$inet6(r4, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @rand_addr=' \x01\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x02', 0x2}, 0x1c) connect$inet6(r4, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) sendfile(r4, r3, 0x0, 0x9bbb) 08:12:06 executing program 5: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) clock_adjtime(0x0, &(0x7f0000001340)={0x2f5f, 0x0, 0xffe, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7fff, 0x0, 0x1fe72e3a, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffffffffff7, 0x0, 0x7f6}) 08:12:06 executing program 2: rt_sigaction(0x12, &(0x7f00000000c0)={0x0, 0x0, 0x0}, 0x0, 0x8, &(0x7f0000000100)) epoll_pwait(0xffffffffffffffff, &(0x7f0000000040)=[{}, {}, {}], 0x3, 0x8, &(0x7f0000000180)={[0x1f]}, 0x8) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x10000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4000004}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, &(0x7f00000001c0)=ANY=[], 0xfdef) r0 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) fsetxattr$security_selinux(r0, &(0x7f0000000000), &(0x7f0000000080)='system_u:object_r:syslogd_var_lib_t:s0\x00', 0x27, 0x0) ioctl$RTC_WKALM_SET(r0, 0x4028700f, &(0x7f00000000c0)={0x1, 0x1, {0x24, 0x24, 0x1, 0x3, 0x2, 0xfe2d, 0x0, 0x1d, 0x1}}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x2000, 0x0) copy_file_range(r2, 0x0, r1, 0x0, 0x10001, 0x0) r3 = openat(r2, &(0x7f00000001c0)='./file1\x00', 0x2, 0x89) r4 = syz_io_uring_setup(0x3a75, &(0x7f0000000080), &(0x7f0000ffb000/0x3000)=nil, &(0x7f0000ffc000/0x1000)=nil, &(0x7f0000000200), &(0x7f0000000100)) io_uring_register$IORING_REGISTER_PERSONALITY(r4, 0x9, 0x0, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x100000e, 0x13, r4, 0x0) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0xf, 0x13, r4, 0x8000000) io_uring_enter(r4, 0x58ab, 0x0, 0x0, 0x0, 0x0) sendfile(0xffffffffffffffff, r4, 0x0, 0x3) setsockopt$inet6_IPV6_FLOWLABEL_MGR(r3, 0x29, 0x20, &(0x7f0000000140)={@private1={0xfc, 0x1, '\x00', 0x6}, 0x1000000, 0x2, 0xff, 0xc, 0x5e9e}, 0x20) unshare(0x48020200) 08:12:06 executing program 1: rt_sigaction(0x12, &(0x7f00000000c0)={0x0, 0x0, 0x0}, 0x0, 0x8, &(0x7f0000000100)) epoll_pwait(0xffffffffffffffff, &(0x7f0000000040)=[{}, {}, {}], 0x3, 0x8, &(0x7f0000000180)={[0x1f]}, 0x8) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x10000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4000004}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, &(0x7f00000001c0)=ANY=[], 0xfdef) r0 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) fsetxattr$security_selinux(r0, &(0x7f0000000000), &(0x7f0000000080)='system_u:object_r:syslogd_var_lib_t:s0\x00', 0x27, 0x0) ioctl$RTC_WKALM_SET(r0, 0x4028700f, &(0x7f00000000c0)={0x1, 0x1, {0x24, 0x24, 0x1, 0x3, 0x2, 0xfe2d, 0x0, 0x1d, 0x1}}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x2000, 0x0) copy_file_range(r2, 0x0, r1, 0x0, 0x10001, 0x0) r3 = openat(r2, &(0x7f00000001c0)='./file1\x00', 0x2, 0x89) r4 = syz_io_uring_setup(0x3a75, &(0x7f0000000080), &(0x7f0000ffb000/0x3000)=nil, &(0x7f0000ffc000/0x1000)=nil, &(0x7f0000000200), &(0x7f0000000100)) io_uring_register$IORING_REGISTER_PERSONALITY(r4, 0x9, 0x0, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x100000e, 0x13, r4, 0x0) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0xf, 0x13, r4, 0x8000000) io_uring_enter(r4, 0x58ab, 0x0, 0x0, 0x0, 0x0) sendfile(0xffffffffffffffff, r4, 0x0, 0x3) setsockopt$inet6_IPV6_FLOWLABEL_MGR(r3, 0x29, 0x20, &(0x7f0000000140)={@private1={0xfc, 0x1, '\x00', 0x6}, 0x1000000, 0x2, 0xff, 0xc, 0x5e9e}, 0x20) unshare(0x48020200) 08:12:06 executing program 7: r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x42, 0x0) ioctl$FS_IOC_FSSETXATTR(r0, 0x401c5820, &(0x7f0000000000)={0x8020}) signalfd(r0, &(0x7f00000000c0)={[0x800]}, 0x8) sendmsg$ETHTOOL_MSG_DEBUG_SET(r0, &(0x7f0000000080)={&(0x7f0000000000)={0x10, 0x0, 0x0, 0x60041020}, 0xc, &(0x7f0000000040)={&(0x7f0000003f80)=ANY=[@ANYBLOB="581a00005077611c6446b47cf859a423140c4d2e8f6755ffe4eba26f514bec4630aa836608000000c4b7cc96a84d76737bfe9a748ca6c901c2535dce0cdc383786e1360ffe96e7951bc27727fc68541e7b447f2926e1f0e7884604a4b136b8cf9317fa2672dbcdc27b5342d2f2c11167485b6da4bd443845061cfb084ff322d39d40e74934dc8d4f4b1cca70787cb496039256161df3c9434cc78276cb113219f957f430b120243824269ac8c2acabea1db428dfe17abc21b806850fac24c6d1abce6946e336d6fadb3046b224e1b1480a7be6f34cd59991818700000000f984e5a3f008ed511fc5389f43aaf35f7e98e86e9bc5b1f04af69e05426bc8adf9170122b9638aa7ca3df822694e15f6c7bb13264c71383f60004790688d0cb3e7a51eec71af62c8f1dfc049c58c1f10281b2483302569d372ab4167b7590c559b1cbc4fc007ce4346b9a5c80ec939113e135b177bc178ca8a9134ef684b87f51706eddd8b5894daa8c2b8b534746b4ddb896ac7897a133e9705f6733fe4be6957de0335179621ab958be4991fc7e0be596a90a09d10dc5bc70d20016684253c708795a0648a322282f51ec520cac4718b6c77e5e31fe1966f7fd64ca2b45741f05164f23d6b2a627313e406", @ANYRES16=0x0, @ANYBLOB="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"], 0x1a58}, 0x1, 0x0, 0x0, 0x400c004}, 0x4000800) pipe2(&(0x7f0000000700), 0x0) syz_genetlink_get_family_id$SEG6(&(0x7f00000085c0), 0xffffffffffffffff) 08:12:06 executing program 4: rt_sigaction(0x12, &(0x7f00000000c0)={0x0, 0x0, 0x0}, 0x0, 0x8, &(0x7f0000000100)) epoll_pwait(0xffffffffffffffff, &(0x7f0000000040)=[{}, {}, {}], 0x3, 0x8, &(0x7f0000000180)={[0x1f]}, 0x8) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x10000, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x4000004}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) write$binfmt_elf64(0xffffffffffffffff, &(0x7f00000001c0)=ANY=[], 0xfdef) r0 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) fsetxattr$security_selinux(r0, &(0x7f0000000000), &(0x7f0000000080)='system_u:object_r:syslogd_var_lib_t:s0\x00', 0x27, 0x0) ioctl$RTC_WKALM_SET(r0, 0x4028700f, &(0x7f00000000c0)={0x1, 0x1, {0x24, 0x24, 0x1, 0x3, 0x2, 0xfe2d, 0x0, 0x1d, 0x1}}) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x2000, 0x0) copy_file_range(r2, 0x0, r1, 0x0, 0x10001, 0x0) r3 = openat(r2, &(0x7f00000001c0)='./file1\x00', 0x2, 0x89) r4 = syz_io_uring_setup(0x3a75, &(0x7f0000000080), &(0x7f0000ffb000/0x3000)=nil, &(0x7f0000ffc000/0x1000)=nil, &(0x7f0000000200), &(0x7f0000000100)) io_uring_register$IORING_REGISTER_PERSONALITY(r4, 0x9, 0x0, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x100000e, 0x13, r4, 0x0) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0xf, 0x13, r4, 0x8000000) io_uring_enter(r4, 0x58ab, 0x0, 0x0, 0x0, 0x0) sendfile(0xffffffffffffffff, r4, 0x0, 0x3) setsockopt$inet6_IPV6_FLOWLABEL_MGR(r3, 0x29, 0x20, &(0x7f0000000140)={@private1={0xfc, 0x1, '\x00', 0x6}, 0x1000000, 0x2, 0xff, 0xc, 0x5e9e}, 0x20) unshare(0x48020200) 08:12:07 executing program 7: openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x105142, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = socket$unix(0x1, 0x1, 0x0) writev(r0, &(0x7f00000002c0)=[{&(0x7f0000000000)="1ce2dc47df7b612d42c21ac25bb1e57dcd9f", 0x12}, {&(0x7f0000000140)="78f6d76734ed477907764cae673ce86662726491a317cc5d0d652c4f315985b7907efc727010546875e0348f0f881b345265f9be30c89cef973698469e906a7e1b84fd8443059ae17e4cc3b855ebbbfff4d56c8b81eb78ffe53aa4aa850b120380474b7171ffe24bf13a", 0x6a}, {&(0x7f00000001c0)="15ee8363e78f38ceebbd5d9ac55ccb44b32c37c107313537521cf053ae4d881f8849c1f1cafab4c09ba780863a717618f16361b10da567f9c63100e9510feb336d50340a6d5ee31ba73e04eea12d8545feff141be9906cd961", 0x59}, {&(0x7f0000000080)="d86ed072bcc88392bf7ffd65a5e43e3ba2e34e80ef0c9d4f487ee878c947c3", 0x1f}, {&(0x7f0000000240)="0c1914d24b6845fe6a08c54c974f39c0027c7dd61762db87ad3a4d33380e61cb18a4e1f13b143b1d975197c77517296bc9e69fc2ca9c723db9937d4dbd9f442b65ef740378170e16e5e10bd60658c0d6d39552f3e4e14b7dfae0e9ab27966174916808df8075e24010ab8f77d321de4c", 0x70}], 0x5) pipe(&(0x7f00000003c0)={0xffffffffffffffff, 0xffffffffffffffff}) clone3(0x0, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', &(0x7f00000000c0), 0x0, &(0x7f0000000400)=ANY=[@ANYBLOB='trans=fd,rfdno=', @ANYRESHEX=r1, @ANYBLOB=',wfdno=', @ANYRESHEX=r2]) 08:12:07 executing program 5: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) clock_adjtime(0x0, &(0x7f0000001340)={0x2f5f, 0x0, 0xffe, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7fff, 0x0, 0x1fe72e3a, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffffffffff7, 0x0, 0x7f6}) [ 136.937170] syz-executor.2 (3996) used greatest stack depth: 23920 bytes left 08:12:22 executing program 3: r0 = perf_event_open(&(0x7f0000001d80)={0x2, 0x80, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x5b4}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r1 = syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) rename(&(0x7f0000000080)='./file1\x00', &(0x7f00000001c0)='./file1/file0\x00') mount(0x0, &(0x7f0000000000)='./file0\x00', &(0x7f00000000c0)='proc\x00', 0x0, 0x0) r2 = inotify_init1(0x80800) mkdir(&(0x7f0000000040)='./file1\x00', 0x1) execveat(r1, &(0x7f0000000200)='./file2\x00', &(0x7f0000000500)=[&(0x7f0000000240)='!\x00', &(0x7f0000000280)=']\x00', &(0x7f00000002c0)='proc\x00', &(0x7f0000000300)='proc\x00', &(0x7f0000000340)='(\x00', &(0x7f0000000380)='proc\x00', &(0x7f00000003c0)='proc\x00', &(0x7f0000000440)='proc\x00', &(0x7f0000000480)='proc\x00', &(0x7f00000004c0)='\'\x00'], &(0x7f00000007c0)=[&(0x7f0000000580)='\x00', &(0x7f00000005c0)='proc\x00', &(0x7f0000000600)='!\x00', &(0x7f0000000640)='%\x00', &(0x7f0000000680)='proc\x00', &(0x7f00000006c0)='T!\x00', &(0x7f0000000700)='(\x00', &(0x7f0000000740)='proc\x00', &(0x7f0000000780)='proc\x00'], 0x1000) fcntl$lock(0xffffffffffffffff, 0x5, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) sendto$unix(r3, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r3, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) sendto$unix(r4, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_CLOSEMOUNT(0xffffffffffffffff, 0xc0189375, &(0x7f0000000ac0)=ANY=[@ANYRES16=r0, @ANYRES32, @ANYRESOCT]) r5 = fcntl$dupfd(r2, 0x0, r2) inotify_add_watch(r5, &(0x7f0000000140)='./file0\x00', 0x92000444) umount2(&(0x7f0000000400)='./file0\x00', 0x0) mount$bind(&(0x7f0000000840)='./file0\x00', &(0x7f0000000880)='./file2\x00', &(0x7f00000008c0), 0x1012000, 0x0) fstat(r5, &(0x7f00000009c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) mount$9p_unix(&(0x7f0000000900)='./file1/file0\x00', &(0x7f0000000940)='./file1\x00', &(0x7f0000000980), 0x2800005, &(0x7f0000000a40)={'trans=unix,', {[{@dfltgid={'dfltgid', 0x3d, r6}}, {@version_L}, {@cache_loose}, {@noextend}, {@access_client}, {@aname={'aname', 0x3d, '{\\\'$.'}}], [{@obj_role={'obj_role', 0x3d, '!\x00'}}]}}) 08:12:22 executing program 0: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NLBL_MGMT_C_ADD(r0, &(0x7f0000000380)={0x0, 0x0, &(0x7f0000000340)={&(0x7f0000000780)=ANY=[@ANYBLOB="240000f2", @ANYRES16=0x0, @ANYBLOB="25760f18274601000000000000000000010000000e0001004e4c42"], 0x24}}, 0x0) syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') r1 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r1, &(0x7f0000000200)=[{&(0x7f0000000040)='3', 0x1}, {&(0x7f0000000100)}], 0x2) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x40a}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) connect$inet6(0xffffffffffffffff, &(0x7f0000000000)={0xa, 0x0, 0x0, @remote, 0x2}, 0x1c) readv(0xffffffffffffffff, &(0x7f0000000100)=[{&(0x7f0000000000)=""/170, 0xaa}], 0x1) r2 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r2, &(0x7f0000000200)=[{&(0x7f0000000040)='3', 0x1}, {&(0x7f0000000100)='5T', 0x2}], 0x2) accept4$packet(0xffffffffffffffff, &(0x7f00000000c0), &(0x7f0000000140)=0x14, 0x80800) ftruncate(0xffffffffffffffff, 0x0) unshare(0x48020200) 08:12:22 executing program 6: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b0000000002", 0x5a, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x1600}], 0x0, &(0x7f00000003c0)=ANY=[]) rmdir(&(0x7f0000000140)='./file0\x00') chdir(&(0x7f0000000040)='./file0\x00') r0 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) openat(r0, &(0x7f00000000c0)='./file1\x00', 0x40400, 0x141) ioctl$FIBMAP(r0, 0x1, &(0x7f0000000340)) 08:12:22 executing program 7: openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x105142, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = socket$unix(0x1, 0x1, 0x0) writev(r0, &(0x7f00000002c0)=[{&(0x7f0000000000)="1ce2dc47df7b612d42c21ac25bb1e57dcd9f", 0x12}, {&(0x7f0000000140)="78f6d76734ed477907764cae673ce86662726491a317cc5d0d652c4f315985b7907efc727010546875e0348f0f881b345265f9be30c89cef973698469e906a7e1b84fd8443059ae17e4cc3b855ebbbfff4d56c8b81eb78ffe53aa4aa850b120380474b7171ffe24bf13a", 0x6a}, {&(0x7f00000001c0)="15ee8363e78f38ceebbd5d9ac55ccb44b32c37c107313537521cf053ae4d881f8849c1f1cafab4c09ba780863a717618f16361b10da567f9c63100e9510feb336d50340a6d5ee31ba73e04eea12d8545feff141be9906cd961", 0x59}, {&(0x7f0000000080)="d86ed072bcc88392bf7ffd65a5e43e3ba2e34e80ef0c9d4f487ee878c947c3", 0x1f}, {&(0x7f0000000240)="0c1914d24b6845fe6a08c54c974f39c0027c7dd61762db87ad3a4d33380e61cb18a4e1f13b143b1d975197c77517296bc9e69fc2ca9c723db9937d4dbd9f442b65ef740378170e16e5e10bd60658c0d6d39552f3e4e14b7dfae0e9ab27966174916808df8075e24010ab8f77d321de4c", 0x70}], 0x5) pipe(&(0x7f00000003c0)={0xffffffffffffffff, 0xffffffffffffffff}) clone3(0x0, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', &(0x7f00000000c0), 0x0, &(0x7f0000000400)=ANY=[@ANYBLOB='trans=fd,rfdno=', @ANYRESHEX=r1, @ANYBLOB=',wfdno=', @ANYRESHEX=r2]) 08:12:22 executing program 4: r0 = perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = openat$sndseq(0xffffffffffffff9c, &(0x7f0000000040), 0x0) ioctl$SNDRV_SEQ_IOCTL_CREATE_QUEUE(r1, 0xc08c5332, &(0x7f00000000c0)={0x0, 0x0, 0x0, 'queue0\x00'}) r2 = mmap$IORING_OFF_SQES(&(0x7f0000ffe000/0x1000)=nil, 0x1000, 0x0, 0x20011, 0xffffffffffffffff, 0x10000000) r3 = perf_event_open(&(0x7f0000000480)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r4 = syz_io_uring_setup(0x4d4f, &(0x7f0000000300)={0x0, 0x0, 0x8}, &(0x7f00000a0000)=nil, &(0x7f0000ffc000/0x1000)=nil, &(0x7f0000000040)=0x0, &(0x7f0000000140)=0x0) syz_io_uring_submit(r5, r6, &(0x7f0000000000)=@IORING_OP_WRITEV={0x2, 0x4, 0x0, @fd=r3, 0x0, 0x0}, 0x0) mmap$IORING_OFF_SQ_RING(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x100000e, 0x13, r4, 0x0) r7 = mmap$IORING_OFF_CQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0xf, 0x13, r4, 0x8000000) syz_io_uring_submit(r7, r6, &(0x7f00000002c0)=@IORING_OP_READ=@pass_buffer={0x16, 0x4, 0x0, @fd, 0x0, 0x0}, 0x80000001) syz_io_uring_submit(r7, r2, &(0x7f00000001c0)=@IORING_OP_FALLOCATE={0x11, 0x1, 0x0, @fd_index=0x1, 0x7, 0x0, 0x6, 0x0, 0x1}, 0x8) setsockopt$inet6_int(0xffffffffffffffff, 0x29, 0x4, &(0x7f0000000180)=0x5, 0x4) close_range(0xffffffffffffffff, 0xffffffffffffffff, 0x0) r8 = fcntl$dupfd(r1, 0x0, r1) r9 = openat$sr(0xffffffffffffff9c, &(0x7f00000035c0), 0xe6b02, 0x0) ioctl$PIO_UNISCRNMAP(0xffffffffffffffff, 0x4b49, &(0x7f0000000880)="ff") ioctl$SECCOMP_IOCTL_NOTIF_ADDFD(r9, 0x40182103, &(0x7f0000000000)={0x0, 0x2, 0xffffffffffffffff, 0x10001}) ioctl$SNDRV_SEQ_IOCTL_SET_QUEUE_TIMER(r8, 0x40605346, &(0x7f0000000240)={0x0, 0x0, {0xffffffffffffffff, 0x0, 0x0, 0x2}}) ioctl$AUTOFS_DEV_IOCTL_READY(0xffffffffffffffff, 0xc0189376, &(0x7f0000000080)=ANY=[@ANYBLOB="010000000100000018000000", @ANYRES32=r0, @ANYBLOB="0400000000"]) perf_event_open(&(0x7f0000000380)={0x4, 0x80, 0x2, 0x0, 0x80, 0x1, 0x0, 0x2, 0x0, 0x8, 0x1, 0x0, 0x1, 0x1, 0x1, 0x1, 0x0, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, 0x3, 0x0, 0x1, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x1, 0x0, 0x1, 0x1, 0x0, 0x1, 0x0, 0x1, 0x7, @perf_bp={&(0x7f0000000200), 0xc}, 0x100, 0x5ea9, 0x54f, 0x0, 0x1b7180000, 0x200, 0x3, 0x0, 0x135, 0x0, 0x71c3}, 0x0, 0x10, 0xffffffffffffffff, 0x2) 08:12:22 executing program 1: r0 = syz_io_uring_setup(0x21, &(0x7f0000000080), &(0x7f00000a0000)=nil, &(0x7f0000ffd000/0x2000)=nil, &(0x7f0000000180)=0x0, &(0x7f0000002a40)=0x0) socketpair$nbd(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) syz_io_uring_submit(r1, r2, &(0x7f0000000780)=@IORING_OP_SENDMSG={0x9, 0x0, 0x0, r3, 0x0, &(0x7f0000000740)={0x0, 0x0, 0x0, 0x0, &(0x7f0000000440)=ANY=[@ANYBLOB="100100000000000017010000090000009ffc8c040089a2e7c4f5ffddd8c5234d7257879caa10e15459dea8a1536ca98af5393559f83a4a139543abad6da6e9f9b2e80e52b8981baaf617c2759968159ab79c129630e313076187eb9aa76174a400e7b30c1619adbf7139f4e79981433c385dd5b742a03f425868099b87c13bf7ce3dae15495a678094d69741e0262992573c62cbcd402a31ed9f3de225b7bf6e1fcc84de1b3c3af0cceb073fa8e3284c3df8dd00aa58781c03c1a5b85710b84da72eeb4077a17e0e896a47a93fe324f05d95cbcab823a26dab74fe32446183e33256c250c56b3e8c8134ca5536948ebcd2e8483105a5e6fa72db4e15939c96c235e122334f44c4e31e00000000000000e00000000000000014010000000001008f39b932b48ef9b84d644b98cbd8ebbbf8c242e9e75695b50739d3db1bf2c455428b0eb3db3c3243eac96740a9563758fe96617586c19ece5b1d513f36e34822f583d95a25a9bb94e8e2d23fea254bde153732a00898f0dbc2aa651bb8b76f05dec948ee56750fe50297fb81ba4745617023667bcaf55624fb56bac746ad5aad56e737a843e457c5fdb10d3d3c38c6f77c518f9fba3ceee71ac58c2c44e6150010a684e2456195239d50562ada2993719e10a2de502980c4c1e071350868b0d89f13a0bd1b7e60f74a00000000000000300000000000000084000000000000002571993e9856e2a5291977781dca0c9faf850c218120f5b026f6dc6b98cf7d00a80000000000000029000000060000007847babdff7554c446c85474d1e23386afeea32648ca1a67fa2d35b4853314a5e6b3db2c3f382513c31f64d0b8c39ba422729832afc4d55d859fd2a67606ddd2414e4c3e10dea16992d7c8a57706d97fbaf46e4f7da1b908633ec6cf51e282cfcb3dd3d581fcfb27a0e570b5fe101cbca255627fe632232797f7c97c8e8d8d34b7133f80ac84b8aa267862fc0df509a3d69865f3c900de0020"], 0x2e8}, 0x0, 0x881}, 0x0) io_uring_enter(r0, 0x76d3, 0x0, 0x0, 0x0, 0x0) r4 = mmap$IORING_OFF_CQ_RING(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x2000014, 0x8010, 0xffffffffffffffff, 0x8000000) r5 = inotify_init() syz_io_uring_submit(r4, r2, &(0x7f0000001940)=@IORING_OP_READV=@pass_iovec={0x1, 0x5, 0x4004, @fd=r5, 0x2, &(0x7f00000018c0)=[{&(0x7f00000001c0)=""/168, 0xa8}, {&(0x7f0000000000)=""/7, 0x7}, {&(0x7f0000000280)=""/248, 0xf8}, {&(0x7f0000000380)=""/165, 0xa5}, {&(0x7f0000000100)=""/61, 0x3d}, {&(0x7f00000007c0)=""/247, 0xf7}, {&(0x7f0000000140)}, {&(0x7f00000008c0)=""/4096, 0x1000}], 0x8, 0x1, 0x1}, 0xfffff753) 08:12:22 executing program 5: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) clock_adjtime(0x0, &(0x7f0000001340)={0x2f5f, 0x0, 0xffe, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7fff, 0x0, 0x1fe72e3a, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffffffffff7, 0x0, 0x7f6}) 08:12:22 executing program 2: perf_event_open(&(0x7f00000000c0)={0x2, 0x80, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = openat$sr(0xffffffffffffff9c, &(0x7f00000035c0), 0xe0b02, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r1, 0x541b, &(0x7f0000000480)) ioctl$EXT4_IOC_PRECACHE_EXTENTS(r1, 0x6612) fallocate(r0, 0x3, 0x0, 0x100000000) [ 152.099376] EXT4-fs (loop6): mounted filesystem without journal. Opts: ,errors=continue [ 152.172625] 9pnet: p9_fd_create_unix (4031): problem connecting socket: ./file1/file0: -2 08:12:23 executing program 1: openat$procfs(0xffffffffffffff9c, 0x0, 0x0, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x1, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4, @perf_config_ext={0xfffffffffffffffc, 0x800}, 0x0, 0x0, 0x0, 0x1, 0x1f}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = openat$procfs(0xffffffffffffff9c, &(0x7f00000010c0)='/proc/diskstats\x00', 0x0, 0x0) pread64(r0, &(0x7f0000000040)=""/4126, 0x101e, 0x2000) fsconfig$FSCONFIG_SET_STRING(0xffffffffffffffff, 0x1, &(0x7f0000000080)='\x9c\xfa1naE\x04\x9ak#\xb06\xfb\x15\x05\x05\x12Y:\x99~a\x0f\x97*2\xc2\x10\f\xec\x98\xa0;\xf0a\xdb_fAR5\xdf\x1e46\xc8\x11w\xd1\x80\xbb\xb7\xc1-j\x98\t/\x12-\xbfq\xd5\x82\x86Z\xd6h!T\xb7d\xfa\xc6\x9c\x95\xf5b\x9f\x12n\x1apS\xcb\x01\xd9\xf8\x9b>\xad;\xe9e\xf9\xf5\x00\xb3\xb3j\xc2/8%\xb8A\x10B\xc4\xe9\x05x\xd5K\xf9\xaeD\x8fDmlE\xf1\t\xfb\xf2:K\x9b\xb1:\xfa\x91c\x15\xd6\x0eb\xe4\xb5{\x86\x19\xa8\x1e3\xf0o4x!ZC)~\x94Y\xb4\x9f\xec\x00'/177, &(0x7f0000000140)='\xeb\'&t\xd6\xcc\xfc^\x97<\xed<\x8az\xeeJ\xcd\x87!\xdaF\xc2\xde|;\x9c<\x87\xe1\xcb\xb4\xf3\n=w\xe14x\xca\xf70\xf81\xd4\x9f]\xdb.G\n\xe0\xaa\xf3O=\x8ct\xb3\x18\xdf\x95t\xc7\xd0\xb2\xeew{_h\x93 3\f\xcb\x18\xe7Z\x89\xf9z\xd4\xe1\xb5[\x7f\xc3\xbf~~&\xf8\xbd\x9b\xf3\xe5J\x9d\xb2\x0e\xe0\xdc\x13\x17hj\x04\xc6\x96\\\xef\xa6\xde\xd5\xe6', 0x0) fcntl$setsig(0xffffffffffffffff, 0xa, 0x20) r1 = openat(0xffffffffffffff9c, 0x0, 0x802c2, 0x0) writev(r1, &(0x7f00000000c0)=[{&(0x7f0000000200)="af", 0x1}], 0x1) sendfile(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f0000001140)=0x200000008, 0x3) r2 = creat(&(0x7f0000001240)='./file0\x00', 0x0) r3 = creat(&(0x7f0000001100)='./file0\x00', 0x80) ioctl$BINDER_WRITE_READ(r3, 0xc0306201, &(0x7f0000001200)={0x0, 0x0, &(0x7f0000001180), 0xdc, 0x0, &(0x7f0000001480)="fa06b5c0b65ce09d25ca84a995d7d60cb452f016ea4a8010585a9f422c0ccb00c3930eeba0be9d21f674ba3ceeac91a7a1fc695ebdd27367608a83f41c4807a49a009caf17df376af00a69279e2584b93bacd74851317d10ee9e09ea4a7e5149bb6fd9ee5ffc4ddc0c32718dd5f31285bf0dca705d8deb0702fc865e3e51b51b8d8d10f84e1578e828f944e12ed9818b663ab9d665c9c1abaa5c6717835baf052c1298b68853a7649480f276593e6f2a78020287c37145339358dbcdabe4d6a591acf984665e972d211804f7a66d98b4073fd0ba9dff552f073d1e80"}) [ 152.274635] 9pnet: p9_fd_create_unix (4031): problem connecting socket: ./file1/file0: -2 ioctl$BTRFS_IOC_SPACE_INFO(r0, 0xc0109414, &(0x7f0000016980)=ANY=[@ANYBLOB="cd0d0000000000000400000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001f00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ab96de4bd56613134d6fc10a0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001d7e4b1a7c3e2eda45aaeab7af673fa16e8313b7"]) r4 = syz_open_procfs$userns(0x0, &(0x7f0000000000)) sendfile(r4, 0xffffffffffffffff, &(0x7f0000001080)=0x8, 0x5) r5 = socket$inet_tcp(0x2, 0x1, 0x0) ioctl$AUTOFS_DEV_IOCTL_PROTOSUBVER(r0, 0xc0189373, &(0x7f0000001280)=ANY=[@ANYBLOB="01000000010000005aa5449e1f4118000000", @ANYRES32=r5, @ANYBLOB="00000000000000000026a394d11976a2cc8ee57b0dcffe7d23c5ef69952769754d6058bdfb48277fb732fd671fcb5d58be2084e2e93851a62aa5b952cdd4cea55aaf421c795fce631b57d3730cbb19aedd81f826137bd5a71578d747d278942488f71ff1d03ff8aa2d546ac923c97b5e27"]) r6 = socket$nl_xfrm(0x10, 0x3, 0x6) copy_file_range(r2, &(0x7f0000001400)=0x1853, r6, &(0x7f0000001440)=0x80000001, 0x7fffffff, 0x0) 08:12:23 executing program 2: perf_event_open(&(0x7f00000000c0)={0x2, 0x80, 0x70, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0x0, 0xffffffffffffffff, 0x0) r0 = openat$sr(0xffffffffffffff9c, &(0x7f00000035c0), 0xe0b02, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r1, 0x541b, &(0x7f0000000480)) ioctl$EXT4_IOC_PRECACHE_EXTENTS(r1, 0x6612) fallocate(r0, 0x3, 0x0, 0x100000000) 08:12:23 executing program 5: r0 = memfd_create(&(0x7f0000000040)='\x00\'\'x\xe0\x1c\xaa\x00d\x88\xc4p\xb0\x89`\xe4\xc6O\xca\x1d\b6\xdd\xda\xacQB\xa7\x8d\xb7\x01Q\xc6\x0fG/\xf9\n', 0x0) r1 = socket$inet_tcp(0x2, 0x1, 0x0) mmap(&(0x7f0000000000/0xc00000)=nil, 0xc00000, 0x0, 0x1f012, r0, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x42, 0x0) ioctl$FS_IOC_FSSETXATTR(r2, 0x401c5820, &(0x7f0000000000)={0x8020}) setsockopt$inet_tcp_TCP_REPAIR_OPTIONS(r2, 0x6, 0x16, &(0x7f0000000000)=[@mss={0x2, 0x20}], 0x1) setsockopt$inet_MCAST_JOIN_GROUP(r1, 0x0, 0x2a, &(0x7f00000000c0)={0x0, {{0x2, 0x0, @dev}}}, 0x88) 08:12:23 executing program 6: r0 = openat(0xffffffffffffff9c, &(0x7f0000000280)='./file0\x00', 0x46e2, 0x0) ioctl$FS_IOC_FSSETXATTR(r0, 0x40086602, &(0x7f0000000080)) r1 = creat(&(0x7f0000000040)='./file0\x00', 0x0) write$binfmt_elf64(r1, &(0x7f0000000100)=ANY=[], 0xfdef) ioctl$TCSETSF2(r0, 0x402c542d, &(0x7f0000000000)={0x100, 0x200, 0x0, 0x9, 0x5, "1f02f565eca2368b9e766eea841aa8e06619d4", 0x80, 0x6}) write$P9_RREADLINK(r0, 0x0, 0x10) ioctl$AUTOFS_DEV_IOCTL_OPENMOUNT(r0, 0xc0189374, &(0x7f00000000c0)={{0x1, 0x1, 0x18, 0xffffffffffffffff, {0x20}}, './file0\x00'}) openat(r2, &(0x7f0000000100)='./file0\x00', 0x880200, 0x10) close(r0) 08:12:35 executing program 5: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b000000000200", 0x5b, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x1600}], 0x0, &(0x7f0000000280)=ANY=[]) chdir(&(0x7f0000000040)='./file0\x00') r0 = openat(0xffffffffffffff9c, &(0x7f0000000040)='./file0\x00', 0x4662, 0x0) pivot_root(&(0x7f00000000c0)='./file0/file0\x00', &(0x7f0000000140)='./file0\x00') ioctl$EXT4_IOC_SWAP_BOOT(r0, 0x6611) open_by_handle_at(0xffffffffffffffff, &(0x7f0000000080)=ANY=[@ANYBLOB="1400000d520000007b030000f2000008350000000000040005000000"], 0x8c0) 08:12:35 executing program 7: openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x105142, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = socket$unix(0x1, 0x1, 0x0) writev(r0, &(0x7f00000002c0)=[{&(0x7f0000000000)="1ce2dc47df7b612d42c21ac25bb1e57dcd9f", 0x12}, {&(0x7f0000000140)="78f6d76734ed477907764cae673ce86662726491a317cc5d0d652c4f315985b7907efc727010546875e0348f0f881b345265f9be30c89cef973698469e906a7e1b84fd8443059ae17e4cc3b855ebbbfff4d56c8b81eb78ffe53aa4aa850b120380474b7171ffe24bf13a", 0x6a}, {&(0x7f00000001c0)="15ee8363e78f38ceebbd5d9ac55ccb44b32c37c107313537521cf053ae4d881f8849c1f1cafab4c09ba780863a717618f16361b10da567f9c63100e9510feb336d50340a6d5ee31ba73e04eea12d8545feff141be9906cd961", 0x59}, {&(0x7f0000000080)="d86ed072bcc88392bf7ffd65a5e43e3ba2e34e80ef0c9d4f487ee878c947c3", 0x1f}, {&(0x7f0000000240)="0c1914d24b6845fe6a08c54c974f39c0027c7dd61762db87ad3a4d33380e61cb18a4e1f13b143b1d975197c77517296bc9e69fc2ca9c723db9937d4dbd9f442b65ef740378170e16e5e10bd60658c0d6d39552f3e4e14b7dfae0e9ab27966174916808df8075e24010ab8f77d321de4c", 0x70}], 0x5) pipe(&(0x7f00000003c0)={0xffffffffffffffff, 0xffffffffffffffff}) clone3(0x0, 0x0) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', &(0x7f00000000c0), 0x0, &(0x7f0000000400)=ANY=[@ANYBLOB='trans=fd,rfdno=', @ANYRESHEX=r1, @ANYBLOB=',wfdno=', @ANYRESHEX=r2]) 08:12:35 executing program 4: r0 = socket$inet_icmp_raw(0x2, 0x3, 0x1) setsockopt$inet_group_source_req(r0, 0x0, 0x2e, &(0x7f0000000100)={0x1, {{0x2, 0x0, @multicast2}}}, 0x108) setsockopt$inet_group_source_req(r0, 0x0, 0x2e, &(0x7f0000000100)={0x1, {{0x2, 0x0, @multicast2}}, {{0x2, 0x0, @local}}}, 0x108) r1 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x42, 0x0) ioctl$FS_IOC_FSSETXATTR(r2, 0x401c5820, &(0x7f0000000000)={0x8020}) ioctl$SG_NEXT_CMD_LEN(r2, 0x2283, &(0x7f0000000040)=0xca) ioctl$sock_SIOCGIFINDEX(r1, 0x8914, &(0x7f0000000140)={'lo\x00'}) setsockopt$inet_msfilter(r0, 0x0, 0x29, &(0x7f0000000000)={@multicast2, @loopback, 0x1, 0x5, [@multicast2, @multicast2, @empty, @initdev={0xac, 0x1e, 0x1, 0x0}, @broadcast]}, 0x24) r3 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) ioctl$sock_SIOCGIFINDEX(r3, 0x8933, &(0x7f0000000140)={'lo\x00'}) socket$inet6_icmp_raw(0xa, 0x3, 0x3a) 08:12:35 executing program 0: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NLBL_MGMT_C_ADD(r0, &(0x7f0000000380)={0x0, 0x0, &(0x7f0000000340)={&(0x7f0000000780)=ANY=[@ANYBLOB="240000f2", @ANYRES16=0x0, @ANYBLOB="25760f18274601000000000000000000010000000e0001004e4c42"], 0x24}}, 0x0) syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') r1 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r1, &(0x7f0000000200)=[{&(0x7f0000000040)='3', 0x1}, {&(0x7f0000000100)}], 0x2) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x40a}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) connect$inet6(0xffffffffffffffff, &(0x7f0000000000)={0xa, 0x0, 0x0, @remote, 0x2}, 0x1c) readv(0xffffffffffffffff, &(0x7f0000000100)=[{&(0x7f0000000000)=""/170, 0xaa}], 0x1) r2 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r2, &(0x7f0000000200)=[{&(0x7f0000000040)='3', 0x1}, {&(0x7f0000000100)='5T', 0x2}], 0x2) accept4$packet(0xffffffffffffffff, &(0x7f00000000c0), &(0x7f0000000140)=0x14, 0x80800) ftruncate(0xffffffffffffffff, 0x0) unshare(0x48020200) 08:12:35 executing program 3: sendmsg$AUDIT_TRIM(0xffffffffffffffff, &(0x7f0000000280)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000240)={&(0x7f00000001c0)={0x10, 0x3f6, 0x100, 0x70bd27, 0x25dfdbfc, "", ["", "", "", "", "", "", "", "", "", ""]}, 0x10}, 0x1, 0x0, 0x0, 0x4000081}, 0x0) mlock2(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, @perf_config_ext={0x8}, 0x11010, 0x0, 0x0, 0x0, 0x0, 0x800}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x8) mbind(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x4000, 0x0, 0x0, 0x3) r0 = pkey_alloc(0x0, 0x0) mlock2(&(0x7f0000fff000/0x1000)=nil, 0x1000, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$sock_int(r2, 0x1, 0x12, &(0x7f0000000200)=0x33e0, 0x4) mremap(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) sendmsg$nl_generic(0xffffffffffffffff, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000340)=ANY=[@ANYBLOB="d40000002000210c001000000000000002000000ae009680080022000a3e9ce4d8c2762ff9ba46040893b659739bb5f96c602207000000c1f111044109bafde0897a78eb44338c0cbc86bf56293ee55fc2e0bb0b43645d2456c612cc7191a1cd49d9acd2b2c7e98b6143b66b59663e303cbc6ca0f888bb3730e2fda717c5c05458903eebf4f98129b521ac995853622f691db5a3bc250ecd3e8cadf086a4042a461095362e1a7f5dcd1783eb253ac953405e0ba35a380c00ff00cc9900000000000000001000ff800a0015002c5c282d97000000"], 0xd4}, 0x1, 0x0, 0x0, 0x4000}, 0x20004004) close_range(0xffffffffffffffff, 0xffffffffffffffff, 0x2) madvise(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x2) munmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000) setsockopt$inet6_tcp_int(r2, 0x6, 0x2, &(0x7f0000000040)=0x81, 0x4) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) mbind(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x3c196920d8b85fc5, &(0x7f0000000140)=0x5, 0x5, 0x0) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) pkey_mprotect(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x8, r0) sendfile(r2, r1, 0x0, 0x9bbb) 08:12:35 executing program 2: openat(0xffffffffffffff9c, 0x0, 0x46e2, 0x0) openat(0xffffffffffffffff, 0x0, 0x0, 0x0) name_to_handle_at(0xffffffffffffffff, &(0x7f0000000200)='./file0\x00', &(0x7f0000000240)=@FILEID_INO32_GEN_PARENT={0x10, 0x2, {{0x0, 0x8}, 0x80000000, 0x8}}, &(0x7f00000002c0), 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0xf7e816f4419fdd7e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext={0x0, 0x101}, 0x0, 0x0, 0x0, 0x0, 0x8000}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) openat$ptmx(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x107142, 0x0) openat(0xffffffffffffffff, &(0x7f00000001c0)='./file1\x00', 0x18000, 0x8) r2 = socket$nl_generic(0x10, 0x3, 0x10) r3 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000480), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_TSINFO_GET(r2, &(0x7f0000000580)={0x0, 0x0, &(0x7f0000000540)={&(0x7f00000004c0)={0x18, r3, 0x321, 0x0, 0x0, {0xb}, [@HEADER={0x4}]}, 0x18}}, 0x0) fsetxattr$trusted_overlay_nlink(r2, &(0x7f0000000300), &(0x7f0000000340)={'L+'}, 0x16, 0x1) openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r1, 0xffffffffffffffff, 0x0, 0x0) ioctl$TIOCVHANGUP(0xffffffffffffffff, 0x5437, 0x0) unshare(0x48020200) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) ioctl$BTRFS_IOC_SPACE_INFO(r4, 0xc0109414, &(0x7f0000001e00)={0x495, 0x8, ['\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00']}) ioctl$TIOCGSID(r0, 0x5429, &(0x7f00000000c0)) 08:12:35 executing program 6: r0 = openat$cdrom(0xffffffffffffff9c, &(0x7f0000000040), 0x882, 0x0) ioctl$CDROM_SEND_PACKET(r0, 0x5393, &(0x7f00000000c0)={"ff7f447093e28430b90b03a4", 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) ioctl$DVD_READ_STRUCT(r0, 0x5390, &(0x7f0000000100)=@physical={0x0, 0x2, [{0x9, 0x2, 0x1, 0xf, 0x9, 0x1, 0x1, 0xe, 0x7, 0x1, 0x5, 0x7, 0xed}, {0x5, 0x4, 0x6, 0x5, 0x9, 0x1, 0x3, 0x5, 0x8, 0x1, 0x37e9, 0x7, 0x401}, {0xa, 0xb, 0x3, 0xd, 0x0, 0x0, 0x1, 0x7, 0x9, 0x1, 0x6, 0x0, 0xf40}, {0x9, 0x4, 0xb, 0x0, 0x8, 0x1, 0x3, 0x8, 0x3, 0x0, 0x1, 0x1000, 0x8}]}) 08:12:35 executing program 1: msgrcv(0x0, 0x0, 0x0, 0x2, 0x0) r0 = msgget(0x3, 0x202) getresgid(&(0x7f0000000000), &(0x7f0000000040)=0x0, &(0x7f0000000080)) ioctl$NS_GET_OWNER_UID(0xffffffffffffffff, 0xb704, &(0x7f00000000c0)=0x0) r3 = getpgid(0x0) ioctl$TIOCGPGRP(0xffffffffffffffff, 0x540f, &(0x7f0000000100)=0x0) msgctl$IPC_SET(r0, 0x1, &(0x7f0000000140)={{0x3, 0xee00, r1, r2, 0xee01, 0x7, 0x5}, 0x0, 0x0, 0x1, 0x4, 0x5, 0x8, 0xf4, 0xc8, 0x6, 0x7f, r3, r4}) msgrcv(0x0, 0x0, 0x0, 0x3, 0x2000) [ 164.235603] EXT4-fs (loop5): mounted filesystem without journal. Opts: ,errors=continue 08:12:35 executing program 5: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b00000000020000280200000284", 0x62, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x1600}], 0x0, &(0x7f0000000280)=ANY=[]) stat(&(0x7f0000000440)='.\x00', &(0x7f0000001c00)={0x0, 0x0, 0x0, 0x0, 0x0}) setresuid(0xffffffffffffffff, r0, 0x0) syz_mount_image$msdos(&(0x7f00000000c0), &(0x7f0000000140)='./file1\x00', 0x8, 0x6, &(0x7f0000000740)=[{&(0x7f0000000280)="14372bab49a327bf2da160eb7eed9a0471130aef1294625235af3abaf218926d786e2c7497e9b91b2fe6e7a71345b906740383bec146669dda989df42d82d3422f36ccc53452b195f4b50290e113bc7d14f3a672f98ccd762035fd266ad8fde1b456cf21001b54ce63428c02236bb70b401566fe48adc4c0264ef9dad9c946fd7b5441be459e2b1687db603b4054b84789279c735fae2b862cf4df9180b66b7366778355d5e975ca3d2fbdeca943bf1f286baf191748029756c84d48980d173f891b3482beae6676ff8453296ba9605c65aa78ac1ecef89e732ecd864bd5d231c42863fa81f7a390a9f8c274467c1c", 0xef, 0xc5fc}, {&(0x7f00000004c0)="b7c147d6096817a9f5b5fc2b92ed7e78b56bc6b95df826e037f6588187a59dfeffd2680b3fcac27dc0e60e26a533fa7d424abcf426772fd5ab151526cb171216a9748dcf6017de48f1193780f3a664c27e8b5ce3f67558976d7b1a6a3fcbf6e95856c87b4c09b9915013a994937772b58508a664bf321522046a6a9b5e1d733a084db48293fa6dabeaea2d6bb4fb532a7f9ef3386d44e3ce9f95e708b3e587c636189083ca2b50e0934ecb2b5497f226207732e4ae0037a1bcceff", 0xbb, 0x7}, {&(0x7f0000000380), 0x0, 0x800}, {&(0x7f0000000580)="0b846ba288598e69509506b446b1d4c74683dd28c346cc45d973b841b2a3e675322994f5d83805c183276b9255c879b2f64c938d3e7ea2eeec85a967fb684a95101243305582b92bfb36166da89ebe0ead21cbc0833eb1173c470a70b734320fea83cb91c73665eea405e25d2b3d9f9deb98fa0511d1f5ed74508d39f6fa710a8c3c1a2a8a5fb16d913b918da510ee00ea2d1f069853656409d30aac8443b71e412a6c6c56d4d744637fbd", 0xab, 0x7fff}, {&(0x7f0000000640)="ac6f772139d138a142969c5424b68d035be11b936e8807fef069ac3094088e94f01ead21c77a9d", 0x27, 0x79}, {&(0x7f0000000680)="8a56172c4d01de1ff7538cda98a275cdf04c8a5030c6625706c3bdea54ef3bc00a96470a9c13eb096cba36876fcbaab99c623258af4e115af504f673f25d0bb988fe8621fd21fde0dd260294a1b85689d56ab8bc2cf78ee316ab9d6327c08ac34b2b27e5ad0cae151715809397a7680c7d9d1ac7433abf31a12a5c6d7423214f14adaa5b7799acb5e96452010889f6f95f4e813bc0d5c90bc025", 0x9a, 0x3ff}], 0x10, &(0x7f0000000800)={[{@dots}, {@fat=@discard}, {@fat=@discard}, {@dots}, {@nodots}], [{@fowner_gt={'fowner>', 0xee00}}, {@uid_lt={'uid<', r0}}, {@subj_user={'subj_user', 0x3d, 'ext4\x00'}}, {@subj_role={'subj_role', 0x3d, '@)--/}'}}, {@smackfsroot={'smackfsroot', 0x3d, 'security.evm\x00'}}, {@func={'func', 0x3d, 'MODULE_CHECK'}}, {@func={'func', 0x3d, 'KEXEC_INITRAMFS_CHECK'}}, {@obj_user={'obj_user', 0x3d, 'ext4\x00'}}]}) chdir(&(0x7f0000000040)='./file0\x00') r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) ftruncate(r1, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0xc142, 0x8) r3 = openat(0xffffffffffffff9c, &(0x7f0000000200)='./file1\x00', 0x2, 0x0) write(r3, &(0x7f0000000240)="01", 0x1) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) close(0xffffffffffffffff) write$P9_RREADLINK(r1, &(0x7f0000000140)=ANY=[], 0x10) sendfile(r1, r2, 0x0, 0x20d315) fsetxattr$security_evm(0xffffffffffffffff, &(0x7f0000000180), &(0x7f00000001c0)=@md5={0x1, "14a8e82f6acb5c1fdec6fd2a1d7050a2"}, 0x11, 0x6) ioctl$FS_IOC_GETFLAGS(0xffffffffffffffff, 0x80086601, 0x0) pread64(0xffffffffffffffff, &(0x7f00000003c0)=""/197, 0xc5, 0x0) [ 164.372720] 9pnet: Insufficient options for proto=fd 08:12:35 executing program 7: r0 = syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b00000000020000280200000284", 0x62, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x2e}], 0x8010, &(0x7f0000000280)=ANY=[]) setxattr$security_selinux(&(0x7f0000000300)='./file0\x00', &(0x7f0000000340), &(0x7f0000000380)='system_u:object_r:autofs_device_t:s0\x00', 0x25, 0x1) chdir(&(0x7f0000000040)='./file0\x00') r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) ftruncate(r1, 0x3) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0xc142, 0x8) r3 = openat(0xffffffffffffff9c, &(0x7f0000000200)='./file1\x00', 0x2, 0x10a) write(r3, &(0x7f0000000240)="01", 0x1) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) write$P9_RREADLINK(r1, &(0x7f0000000140)=ANY=[], 0x10) fcntl$dupfd(r0, 0x0, r1) sendfile(r1, r2, 0x0, 0x20d315) openat$tcp_congestion(0xffffffffffffff9c, &(0x7f00000000c0), 0x1, 0x0) r4 = openat$snapshot(0xffffffffffffff9c, &(0x7f0000000140), 0x40202, 0x0) openat(r2, &(0x7f0000000280)='./file1\x00', 0x200, 0x100) dup2(0xffffffffffffffff, 0xffffffffffffffff) fsetxattr$security_evm(r4, &(0x7f0000000180), &(0x7f00000001c0)=@md5={0x1, "14a8e82f6acb5c1fdec6fd2a1d7050a2"}, 0x11, 0x6) writev(r0, &(0x7f0000001880)=[{&(0x7f00000003c0)="7c66106efbd8fe034b888ff38ca0e17f0294527c6548001a8c4db21495badd3f817788d4d1b709d0e7914cf43b5b2ffc292b4c66ceae8c97102f8241443f8056065f72510e9e624c6218323e5fb0832d111f64c5e0d37554200d77713a87e6e58fd2d840eebf0347149dca301660be980214a1b9d00edab4f5cd5ce9295a93534659bbc92a8d5897625d463077f9c34ca50939a48ff6ae91b0e640d242e432289dc3734bd1d997e4a1c349f033826bcf2a8ed1c929963ce08a1a62554c931adcb8b8b2925b7dfd319641706dbea86addb9b0dd316e460e8d4015a3455c0c132f135a57725776", 0xe6}, {&(0x7f00000004c0)="fef2087e496d8db4473123dc3b176e1ea14450a940f5264bbd790127b0101bd380490a9a2c53f3f6048244c061d2bc3314247e8ac238271e93ffd097ea45855d91e79493e17e95946d3387c8a6239452d20a4cd976ffda81343d50e616fafe090f11ce337f7a80af1b4df6b4f52d9b1b4ed58fd8", 0x74}, {&(0x7f0000000540)="71c54b9b87e704aa8b732a67c5e8d46f05171b9a31b8b9b807b0444bb651d234b0f477026bdeb442adbcff5cd37a697f87b3a9f0d398ec3bb30a9b4a1f19274891a3084dbf79861b6b11446df21a53039a598cf6de9058944a46a8882bd666ba50199a6f187215245854612679210326f5cd8bfdfaa17b683a5c8e07bcf7696d5a3686f4934acdbcc88e48db54bed72cd4f54c93879294e39a0cc66720a8b536745309fbc04e78d0019bee01efed6b5b15c0c1f21836c7f6fddf5750625b03278848f866260cdecc9d1dbf4b14ec36ca8f26727f457a2a5630ed09bab5712e88de52e6fb3aa521ae0b456de415b09063cdcccc70638f796af3ab345ded9765f7e7c08a49cfb3f97923aa416130b9a50fe4c442b1e3870190f73d88bf22a22856e78debec523a88a04a54a480a6e64dfb5ffd5a46b1f876c47130fd449c54d170defa05b88e36e44e53e549fafaa2463867f568e92034cda728e2837ef5d900e2d5739a7ab5e1d76d321576bfe9ea6f68cef2d36b7df3260bf2b53d8a800d754a7fb196b58b5238dec5808d06017f440e7693da16ea41dc7ea209618bc086e675f047401d6c1e7736a7e4fcf9ea8b78dfc5461c3292fc2e03ea64f13b950aca2414c7521c6f16675d386d4c16f9cd39bcd7657967ad359a1fe240631c58281c4a25e798c9f692c39f5423f6880422ffe2d00f76c23651133f4f509616250bd7dc2147cef42074ab4ceb3db5cf89408a5cfb69054aaaa16f2d8d4829cb2469e0c3a2e395da9c290d5f22dfd21ba1a038bdc31a15b49376cfda7354a07ef6a6c0cf309d4d405cacf77fd483ff30c416d4818b2cf1baadbbd2ff9d2975212007f2b8feb391efd025df591b524a4867ca4805f9f00dcc336f99df1d77602d10bb1e1f5d46f53e4a3235d4c7f2121005ea8cfdfe29a16a4d778dece960cbac2028c8d5b27fe19a90715c4790e77753a6138981ce7c3d1eaec4b2c27d506c28d05146c9f960b535a4a72187574c8b6b5c9930c0f19fc54dbdeb7ea1bac775090e623b93f25e590cb17af162ad2b1cb7da3055f040717a1f5c8b765eab1a8b1630b9587336d90b3610af2215db3e5186049166ec9de239e72be76aa82c438e182b8d6713a4f4d02c57896e0d4a4d943eb85b3370e91463db54c67f3d4d2b9a878dd029160fa9165059b524db20e138b52e87098e9cfd0db1d0d616eb2a09e1ecc8290dbb411575c06da735f5aade8ba5dac516d67c40657577d2b5d797a3126ceb8f202f93e4dd6007bb10e333c2f707145eb77e1db78ca737c0f7a705c536ae64d65a6bf704e130a031c5e1d2325bc54e666130cb1a6802cf5e19852a14656c2ca6f55ff468f7f3008631e4d7f40f56123fba14bbcdcb3328cbb2133d94d70c78d2e4b0728754509ff6c9432247cd76895270b127cd74444e8ef8946c0eb6dd3ec1a54637808fe73dd4c11d42a81475a2a9981db9530c9d333fb20c7acd7ae790cfb57ab4efffa8fd9245a316795987e664493f781d5b7d61f1a8504eae69ec67d4a6585881de596d1865d46043b39ea98cb3f926866b4da26df5742bb7f85ec005632bd56af22e267c230229e0d86b2c5286092447601f61ddd4c23ed4aaaf0ebb88b9ba111b019e8432d8b769bfb40c234de34aa89870d6d6968337d340eeadd42ee71c6af8e9532e40cb2a04f6fac53857e698e8be8feb7231620a47537bcd411e5fe45d0a3827afb735d8745dcd3774acee4f01342a629a654f5b38212011315f175ab00b16655ea07a054fa4ddf605cfe2d914f2a1c72de2b2a4edf3ed9e52282230086805b5abd5897be4625cf0c74273c2759f3eabf0963e6eafd0e5c6e872927d6e88b14555c70a590538d680c190a7197a3cbc937b34ff6a8b8267e921f73b9dd22a0df85254567b6b65441dbcc4e6c9d3a13be4e37711efeb5ae4580200e9d232bd7cfcc482d1b27c3dfdef27f2000e0e511d1691244f45b7f904f894662afdf573b7a9cfea1f10d212620a412c71cd1dec70c81ec27f0351f04c84363a5d8d60f8f226fbb1b76570896f75b763cae52a1cc8bf6a196bd40bf0be24d2f399fc0da92b362168dc4195ed2e40e4189aa04ad2820bdd864ed9eae0485d57a43fd32d623be6727d99115340367ec8af7ccee75843d65d05a63f3cfd09b35170c7e6bb4493d38d0eb4e5ffb342540082f43d980b3863ce31009de0518e03e62736dcba68a0c4ddd56e327e05e32580fc2e5f49a2b17df868049e3011b0a96471022f6ecbdcb35dbf564737010cba5ad31f091216799bf1e6c1653248a305c202fc6759badf0fa601b9b90461fc8ef120b93cdfcff0bcafd1fd9e2c63cecca5a2a7f00db74eaf5c343ac89f3fe06841d3de485972d4a8521f39cd79bf7936b09a84f3ac7759882df222c3b7f09ad9372f61e3f9ad9b5f1b6fdc6e5dcf4a75d8e23934d7584244e36d434cad761d11aadc18e05c2d0a080ae4a7b0ec45fbeac89dd2acbc4b71073db53db7989fed6caa3beee86c9e38127df6b56d5241ed0bacb0cce7c6d0ab7117282fab3536c2410b3808b0a44a300605f019fc397b80837260675148d132e71b030974faae8803b0d5763811e5cb3a2a2c31a7549e1714849757df6b5f070f1e726e53430d08b578474a1621109309951063433a25a05ee27d03277217cc24717a61a5c78f386b4836c571069765cfa6e46f6989e928e400839e28c590154583b92a02d603e48f5c4cbc222dca4bcae45c9ec54b062901607d71b172666b27fda89ba433bddc36fb97635794e75c3dff3fe20e1d9b489cd9210293a075aa5fe2ec2259ab5bbe7268d9f14785f46ed36932b2fb45b16fb277d5da0e5414d6d9f32af479c24e503d173a6bf0982c148fc9bdb88fa8e42324a707c84fe15fc433c3345b26f7c2b6a56e7696f6048f90158b82fd3b9cf45b9535a5341659d080516ee232aee967bb659b0d01b124cadc0f6e69dcf455420be0a8efa8a49a4dcb3eb8c43d84e78a1ce0c401663f37ae366414c4b1ab514dc15a263f2d055ab4e421184af563f77d79a0e0d6ba9c33aa7b9b55711742f656921e927f1280daa75b42a41517ed6e6d0ea9e12ee3e7ca760d0dae88585a1487ac49005f7b2aed097da8893ba3d6c757c083d47f8683c8ec025c0dbe0dcdecd7c39f38f7febd1274f6023eb9f8e66f1a2939bd935de26391325930e08a4fbd7928641410c9feffd4a4cb07a4fb513a261ab086561d338128bd28f92cf76d393c8501d79b2d1767f2b54282ec81707f42be5b5408eac1fc7cbfdfa39fa2b9f541388e88a7ab6d4f46d47382ceceaab2ba0506724e86904363d98b3515921ef4e55284036eb9bdfd95d11ac4ab21759343afb51c96d81542d35f48346e3190c5d595c99090e92c10e2204d8d446fe7e2148ecd922fefd2508abae91131462ede7700ec014728026d6591969f5fe611f07f5942f309b82465a6e2866ed6bc6b8b86819341390e4e47d2862b801ddc9c681c3d25904b759d3a801313b8ed7fdefb67b5a301d8a7f99b951073d66f63d45d25430bd5fe69055477439de7ad2282d098fe2abd5bbc227278d7b5135ae26152a10984b1d032f7c94b9e8827a66a465864277b2df8079099ec4fbad195d12b2b167280983bf3c2ffc32fb48165fa9f0bc8df977c569b8b37a7dba1cbc5f94e14b4b4a16879ef3161bd1a3ea635c9145c216c7cdb4c5cdaa51a3dccb62a8f556c442269be6e5e52ea11259bd87d3bca5ab66756bde4e5b9740607237d909428537c7a04402786edbca1f8abd08a800cdc3daac472c64548a3d1dc29cb43e030969add9cfa02f84ccd4a75ff007c533b54fc5f01329cae527130e49a4aac5aa4f6a8664595a77845fd7d379bf031c82de92a766464be51cf511fa12b5eae50765b75089679bb74daa3163066e5eeb25a2bef44d58be87e44acb0bae8b2fe9b91efdf41e059a04386998086853d6bb5f7e9ffea09e42cfa1f0147f328c3ca7c319d19e8fcfab47bd07c9b485d78df63be02efb5ab7896f80536f6c56e6e794b6ab935019c2bd939ff1c69010b815614b6c83c18c8b13177ef05068822e2a187043a76d1f3d2f97fdd03624336f9ad98c991f246fa05ec86c5459f53a88759edbb0d1811bb5ea8a6e23533e6aac21f4a8a6a8b0b02aceb94d53490147b7a9e3532c6e1431c13f95689ac7c5d123f5e62d415e81fe00bb3c0f5e29f653aab21f1e67f04b27b980ab1f026d17919097b3d20798c71f7d57ed488271dcbc4df6019ab4278f709935491a15a0c09b35ca5dc2fee5c6109dda1bee24034adcfc6cb00a3d9843238df430ce3eb8e3629be6f6a26187073821967ef8a591fac06a31a071e1326247b5459ca35a0145bac1542a8779953bce9d5a2ad17658d0f1fcddcb4c0e345fb8ba9e29b4d7d7993ac1b91fc1c02b860bef8e7fcab78f31f146664b86758d1c1c998e329413aa48eb0c84ce8e06862f1ffebda8919ca521d14cccbd9c3e00473de35f8ae85887554d40d81f8f983ecb08e12fa33de8a10d5b170bc6e5f3e1e2c737b9c1df6934ecfbbb143b39154325e1f6970d3fca4e949133cceb3cf193752dd4f6906aa07073a3db8e6eb4b9de65a6f607ec326f72df4f6aa9da4909cf490b21e5c6c902920c99b4f606cf6e8ed3c69cfd99d34723635d4f73cd572c9cbf85b0cd6ee8c2db634ad4c1907559f398665d48d967e14cf2981d6191360cf7eb6082a91acec0890ba9d386c47f0488a8a8939a6291b554240b95a66b903eca2e935a54a7c502e95f4f40e7f37dfaf271b8673282748fd386245abb723ebfc4498b95f3b6e962cfe795d7f1c5ca16743dba5f693032a882ecc527a447e85f9515fecb467ab06b413b095f32f89dbb295afeb742efee47b4ee3c24e59ecb22f8489db674bfa4f488b1c57088472369c57e7dea5f38b22fc1c71b15d3848ee0826263118e84dd65ee82042c1d49845c15f3257cad62f4b495aafc297a6a1f864afa1b9170761f959ddd8b716f4270f1ca7f63d9db332a0213e9c433a849232d82c095063266349b0cf4874335911ea5be8ab040fccccd02c93f0dfcf3d00865951b51e70867dbc508de932fd14398dec41758c87fe1ad40ad4559afd52178a683f931edecb4784285ef59c5e3695a75f4eacc6d7632dbd85db65bac00e221163e260fac9800c12d6346221b415de3b5c6fc6b2214ec6c7b6d8051c77873a70c88bbe2fffc0c9a43fe3dae29523bc17d9b53a348f617e1093c3327d72a7ef6c21ae2159ce107b7c5e0ffbff534a75ee6a8f4382c364f77243679f83bcc1ecca085d2180c1ce34c5c78bcc39fe4519b050fa26110ca10118297bfcec0d9a987849343d6850785bb8c09d2fbc43909f25e5153db4b44be5eb0a2e8e48738453662e491b72d9bba9c6eff0fa4d86ee16e765374b72c036220733f9e38543e6282a22aec6fb6d1676d3d766d0a8c6bb899e6db3c1169d34ac75ea458493d0a1a4d6817df393e8f38f44f5d370a4fa6a480077a5a963f77bffbabb5d33edd934a95f7258a9b89dc6f05a73b989b1d3c763c24aea48348860fe20e100b5383ac875adb91277f044cd3bcf5871753e89bf7882fa5215f8d629dc1ee67f9d34484f26a8bac2ebdf7e0bb2ada688b841fda9879f943c349482f55879b5c054a6b6773571320349a3bd31fb2371bcc41f9f1862530de3031813c5f9bdf394de477e7ccb1201afe7da79b7a7b62bd911f01b97754b0ef820b2964f5cd706a4607d163042cfaa6ff0a5ffae9b2d77278597cce6bbf0bd1527c06664dd", 0x1000}, {&(0x7f0000001540)="a25786cedc1e0e8c68635b0e0c80f0543ca45adc2cea8a540590d19c61bf2d8728684e68b4ee4e0f6cd91dfe2d0552c2fc9a88f7b1c17d78ba91461b93b1839117ab288d9d212c81f50c895bc5b08a03d26646eb1e05481e5cb98469961ced64", 0x60}, {&(0x7f00000015c0)="d44ebc140008b8bb061c4faecb33b24c745562ee2e9efeef5ea246a4ad0b7390a049e1c28e71f3c31dad047cdc1cfc6bdef686f66689c343acc938890b42784f1fbaea81f437fe158e082fad17e209bb94b291e1f9b56af184f9b1fc77d93ca6da882adecc1303d9dd6938a1ce76746a3a94a4c83ce4763cf8c73b7a5e5784980a0496f92f52efc312ccbae701a3b3c78b1fad5eedf1b40af620de9516aa4158b8b0c34ddf96e98e9b2aa532af9aa09c9f7f2d19349d75055d2636fced404a3ef354751ec8bd56092d54225d5c446cf9ee8d99038bc3212403ea3834e10b701fdc5ee00a99eb934cf0e183a17ede9d", 0xef}, {&(0x7f00000016c0)="035878f4b543ab63f8a96d89164d6f1640b30f19cacffa3d6e83be0c60137973bb70d516a6ed677b0794325e0354f14ad7dfe1c287f27ea3971a145106ded9a0c1866cf1686ec2d86862afc9f630153e69dfa01e268933063cf212bd1640b3e205d4ca29bf5e13aeea3062501cd131416ba459eaf9", 0x75}, {&(0x7f0000001e00)="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", 0x1000}, {&(0x7f0000001740)="2c0a3578357eafe81bdfd1dd", 0xc}, {&(0x7f0000001780)="0e51306c1bebd93862616efedb7e9832adead166f29db10ae4c0e611e5e35d7dbcd9c83aa7683c55281d", 0x2a}, {&(0x7f00000017c0)="82932450668aafb9fff8e7da3e6c5ed29485009bb97ef80ea2488450642ca1ec763e980bc04e926e966ed329df2cdf3732fa480cb2f93bcd0d03b42fe4e9b4949b9437871b0bb448bd4cbe62a08747fb980a2eddd9e2d6322d03cd9f2b81f89178e952a7db7983da6569fb2012cb39a4bb7914907104e7de82e4a4008e2d864ddad9c1444b3c", 0x86}], 0xa) ioctl$FS_IOC_GETFLAGS(0xffffffffffffffff, 0x80086601, &(0x7f00000002c0)) 08:12:35 executing program 4: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) syz_mount_image$ext4(0x0, 0x0, 0x0, 0x1, &(0x7f0000000440)=[{&(0x7f0000000040)=' ', 0x1}], 0x0, 0x0) r0 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000000000)='cmdline\x00') mount(&(0x7f0000000080)=@nbd={'/dev/nbd', 0x0}, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000100)='qnx4\x00', 0x20000, &(0x7f0000000140)='cmdline\x00') readv(r0, &(0x7f0000000280), 0x1000000000000048) 08:12:35 executing program 6: ioctl$CDROMEJECT(0xffffffffffffffff, 0x5309) r0 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x0, 0x0) ioctl$FS_IOC_SETFLAGS(r0, 0x40086602, &(0x7f0000000040)=0x4000) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) sendto$unix(r1, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r1, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) write(r1, &(0x7f0000000280)="219bae369434c6bad0cb9e0875c5e2e66030a2077b8e6babd2d7a6c796ef2758584bebdc446c16948984a76e4fd247522b9a5f5b8a64e5847167cc81db9742b2b66405be7ff18efdf0c7402ad3db7dcd6214e18fe9f7713e2349d3a67516a741fb0d91eb96fe9f5636a7e11fd7a2b23507338e350406234c869e1106f014c4de583b60623c6cfac68e812a6c2a1f7cc6eac03e50303753b0419f76ff5bb2587109d27e6ac176d514d321c7583428bf05", 0xb0) r3 = openat2(r0, &(0x7f0000000140)='./file0\x00', &(0x7f0000000240)={0x331002, 0x4, 0x9}, 0x18) sendmsg$NLBL_UNLABEL_C_STATICLISTDEF(0xffffffffffffffff, &(0x7f00000001c0)={&(0x7f00000000c0)={0x10, 0x0, 0x0, 0x101000}, 0xc, &(0x7f0000000180)={&(0x7f0000000200)=ANY=[@ANYBLOB="14000000cfb1070c104c4c82e77e981342f0db3395afbe3cb5ff4242de770e0ebd85d67a4e92454d94c58856fa7a", @ANYRES16=0x0, @ANYBLOB="000827bd7000fbdbdf2508000000"], 0x14}, 0x1, 0x0, 0x0, 0x4000010}, 0x4000) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x0, 0x4, &(0x7f0000000440)=[{&(0x7f0000000040)="2000000080000000060000006a0000000f000000000000000100000001000000004000000040000020000000e0f4655fe0f4655f0100ffff53ef010001000000dff4655f000000000000000001000000000000000b0000000001", 0x5a, 0x400}, {0x0, 0x0, 0xffffffffdffffff8}, {&(0x7f0000010400)="020000000300000004", 0x9, 0x800}, {&(0x7f0000011600)="ed41000000080000dff46552e0f4655fe0f4655f000000000000040004", 0xffffffffffffff1a, 0x2100}], 0x2000000, &(0x7f00000003c0)=ANY=[@ANYRESHEX=r3]) r5 = perf_event_open(&(0x7f0000000580)={0x0, 0x80, 0x80, 0x9, 0x81, 0x7, 0x0, 0x7, 0x20447, 0x4, 0x1, 0x0, 0x0, 0x1, 0x1, 0x0, 0x1, 0x1, 0x1, 0x1, 0x1, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x0, 0x0, 0x1, 0x1, 0x1, 0x1, 0x0, 0x1, 0x0, 0x1, 0x1, 0x0, 0x1, 0x1, 0x1, 0x1, 0x0, 0x1, 0x1, 0x0, 0x0, 0x2, 0x0, @perf_bp={&(0x7f0000000540), 0x8}, 0xc048, 0xb266, 0xfffffffa, 0x1, 0x56, 0xc1, 0x6, 0x0, 0x0, 0x0, 0x1}, 0xffffffffffffffff, 0x7, 0xffffffffffffffff, 0x8) sendfile(r3, r5, &(0x7f0000000600)=0x8, 0x1800000000) open_by_handle_at(r2, &(0x7f0000000340)=@FILEID_UDF_WITH_PARENT={0x14, 0x52, {{0x1, 0x0, 0x101, 0x9}, 0xd7d0, 0x664e}}, 0x420000) lstat(&(0x7f0000000400)='./file0\x00', &(0x7f00000004c0)={0x0, 0x0, 0x0, 0x0, 0x0}) chown(&(0x7f0000000380)='./file0\x00', r6, 0xffffffffffffffff) [ 164.483126] EXT4-fs error (device loop7): ext4_fill_super:4962: inode #2: comm syz-executor.7: iget: special inode unallocated [ 164.494568] EXT4-fs (loop7): get root inode failed [ 164.495162] EXT4-fs (loop7): mount failed 08:12:35 executing program 5: openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x543, 0x0) r0 = eventfd2(0x0, 0x0) r1 = syz_open_dev$tty1(0xc, 0x4, 0x1) r2 = openat$sysfs(0xffffffffffffff9c, &(0x7f0000000000)='/sys/power/resume', 0x0, 0x0) pipe(&(0x7f00000000c0)={0xffffffffffffffff, 0xffffffffffffffff}) setsockopt$inet6_tcp_int(r3, 0x6, 0x9, &(0x7f0000000100)=0x6, 0x4) r4 = dup2(r1, r2) mount$9p_fd(0x0, &(0x7f0000000240)='./file1\x00', &(0x7f0000000040), 0x0, &(0x7f0000000340)={'trans=fd,', {'rfdno', 0x3d, r4}, 0x2c, {'wfdno', 0x3d, r0}}) [ 164.569057] audit: type=1400 audit(1698567155.856:10): avc: denied { relabelto } for pid=4099 comm="syz-executor.7" name="file0" dev="sda" ino=16001 scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:object_r:autofs_device_t:s0 tclass=dir permissive=1 [ 164.571285] kernel write not supported for file [eventfd] (pid: 67 comm: kworker/0:2) 08:12:35 executing program 5: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b00000000020000280200000284", 0x62, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x1600}], 0x0, &(0x7f0000012900)=ANY=[]) chdir(&(0x7f0000000040)='./file0\x00') r0 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x0, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000200)='./file1\x00', 0x2, 0x0) mknod$loop(&(0x7f00000002c0)='./file0\x00', 0x4, 0x1) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) rename(&(0x7f0000001380)='./file0/file0\x00', &(0x7f00000013c0)='./file0\x00') write(r2, &(0x7f0000000140)="01", 0x1) r3 = accept(r1, &(0x7f0000000180)=@caif=@rfm, &(0x7f0000001340)=0x80) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r5, 0x541b, &(0x7f0000000480)) dup2(r3, r5) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000280)=@IORING_OP_RECV=@use_registered_buffer, 0x5) openat(0xffffffffffffffff, 0x0, 0x404100, 0x0) write$P9_RREADLINK(r0, &(0x7f0000001400)=ANY=[@ANYRESOCT, @ANYRES32, @ANYRES32=r4, @ANYRESDEC], 0x10) openat(0xffffffffffffffff, &(0x7f0000000300)='./file0/file0\x00', 0x0, 0x120) getdents(0xffffffffffffffff, &(0x7f0000000340)=""/4096, 0x1000) fstatfs(0xffffffffffffffff, &(0x7f00000000c0)=""/65) sendfile(r0, r1, 0x0, 0x20d315) 08:12:35 executing program 4: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) syz_mount_image$ext4(0x0, 0x0, 0x0, 0x1, &(0x7f0000000440)=[{&(0x7f0000000040)=' ', 0x1}], 0x0, 0x0) r0 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000000000)='cmdline\x00') mount(&(0x7f0000000080)=@nbd={'/dev/nbd', 0x0}, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000100)='qnx4\x00', 0x20000, &(0x7f0000000140)='cmdline\x00') readv(r0, &(0x7f0000000280), 0x1000000000000048) 08:12:35 executing program 3: sendmsg$AUDIT_TRIM(0xffffffffffffffff, &(0x7f0000000280)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000240)={&(0x7f00000001c0)={0x10, 0x3f6, 0x100, 0x70bd27, 0x25dfdbfc, "", ["", "", "", "", "", "", "", "", "", ""]}, 0x10}, 0x1, 0x0, 0x0, 0x4000081}, 0x0) mlock2(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, @perf_config_ext={0x8}, 0x11010, 0x0, 0x0, 0x0, 0x0, 0x800}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x8) mbind(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x4000, 0x0, 0x0, 0x3) r0 = pkey_alloc(0x0, 0x0) mlock2(&(0x7f0000fff000/0x1000)=nil, 0x1000, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$sock_int(r2, 0x1, 0x12, &(0x7f0000000200)=0x33e0, 0x4) mremap(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) sendmsg$nl_generic(0xffffffffffffffff, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000340)=ANY=[@ANYBLOB="d40000002000210c001000000000000002000000ae009680080022000a3e9ce4d8c2762ff9ba46040893b659739bb5f96c602207000000c1f111044109bafde0897a78eb44338c0cbc86bf56293ee55fc2e0bb0b43645d2456c612cc7191a1cd49d9acd2b2c7e98b6143b66b59663e303cbc6ca0f888bb3730e2fda717c5c05458903eebf4f98129b521ac995853622f691db5a3bc250ecd3e8cadf086a4042a461095362e1a7f5dcd1783eb253ac953405e0ba35a380c00ff00cc9900000000000000001000ff800a0015002c5c282d97000000"], 0xd4}, 0x1, 0x0, 0x0, 0x4000}, 0x20004004) close_range(0xffffffffffffffff, 0xffffffffffffffff, 0x2) madvise(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x2) munmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000) setsockopt$inet6_tcp_int(r2, 0x6, 0x2, &(0x7f0000000040)=0x81, 0x4) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) mbind(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x3c196920d8b85fc5, &(0x7f0000000140)=0x5, 0x5, 0x0) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) pkey_mprotect(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x8, r0) sendfile(r2, r1, 0x0, 0x9bbb) [ 164.680571] EXT4-fs (loop7): Unrecognized mount option "./file1" or missing value [ 164.721733] EXT4-fs (loop5): mounted filesystem without journal. Opts: ,errors=continue 08:12:36 executing program 2: openat(0xffffffffffffff9c, 0x0, 0x46e2, 0x0) openat(0xffffffffffffffff, 0x0, 0x0, 0x0) name_to_handle_at(0xffffffffffffffff, &(0x7f0000000200)='./file0\x00', &(0x7f0000000240)=@FILEID_INO32_GEN_PARENT={0x10, 0x2, {{0x0, 0x8}, 0x80000000, 0x8}}, &(0x7f00000002c0), 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0xf7e816f4419fdd7e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext={0x0, 0x101}, 0x0, 0x0, 0x0, 0x0, 0x8000}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = creat(&(0x7f0000000040)='./file0\x00', 0x0) openat$ptmx(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x107142, 0x0) openat(0xffffffffffffffff, &(0x7f00000001c0)='./file1\x00', 0x18000, 0x8) r2 = socket$nl_generic(0x10, 0x3, 0x10) r3 = syz_genetlink_get_family_id$ethtool(&(0x7f0000000480), 0xffffffffffffffff) sendmsg$ETHTOOL_MSG_TSINFO_GET(r2, &(0x7f0000000580)={0x0, 0x0, &(0x7f0000000540)={&(0x7f00000004c0)={0x18, r3, 0x321, 0x0, 0x0, {0xb}, [@HEADER={0x4}]}, 0x18}}, 0x0) fsetxattr$trusted_overlay_nlink(r2, &(0x7f0000000300), &(0x7f0000000340)={'L+'}, 0x16, 0x1) openat(0xffffffffffffff9c, &(0x7f0000000000)='/proc/self/exe\x00', 0x0, 0x0) sendfile(r1, 0xffffffffffffffff, 0x0, 0x0) ioctl$TIOCVHANGUP(0xffffffffffffffff, 0x5437, 0x0) unshare(0x48020200) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) ioctl$BTRFS_IOC_SPACE_INFO(r4, 0xc0109414, &(0x7f0000001e00)={0x495, 0x8, ['\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00', '\x00']}) ioctl$TIOCGSID(r0, 0x5429, &(0x7f00000000c0)) [ 164.951354] EXT4-fs (loop6): VFS: Can't find ext4 filesystem 08:12:48 executing program 5: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b00000000020000280200000284", 0x62, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x1600}], 0x0, &(0x7f0000012900)=ANY=[]) chdir(&(0x7f0000000040)='./file0\x00') r0 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x0, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000200)='./file1\x00', 0x2, 0x0) mknod$loop(&(0x7f00000002c0)='./file0\x00', 0x4, 0x1) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) rename(&(0x7f0000001380)='./file0/file0\x00', &(0x7f00000013c0)='./file0\x00') write(r2, &(0x7f0000000140)="01", 0x1) r3 = accept(r1, &(0x7f0000000180)=@caif=@rfm, &(0x7f0000001340)=0x80) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r5, 0x541b, &(0x7f0000000480)) dup2(r3, r5) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000280)=@IORING_OP_RECV=@use_registered_buffer, 0x5) openat(0xffffffffffffffff, 0x0, 0x404100, 0x0) write$P9_RREADLINK(r0, &(0x7f0000001400)=ANY=[@ANYRESOCT, @ANYRES32, @ANYRES32=r4, @ANYRESDEC], 0x10) openat(0xffffffffffffffff, &(0x7f0000000300)='./file0/file0\x00', 0x0, 0x120) getdents(0xffffffffffffffff, &(0x7f0000000340)=""/4096, 0x1000) fstatfs(0xffffffffffffffff, &(0x7f00000000c0)=""/65) sendfile(r0, r1, 0x0, 0x20d315) 08:12:48 executing program 4: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b00000000020000280200000284", 0x62, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x1600}], 0x0, &(0x7f0000012900)=ANY=[]) chdir(&(0x7f0000000040)='./file0\x00') r0 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x0, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000200)='./file1\x00', 0x2, 0x0) mknod$loop(&(0x7f00000002c0)='./file0\x00', 0x4, 0x1) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) rename(&(0x7f0000001380)='./file0/file0\x00', &(0x7f00000013c0)='./file0\x00') write(r2, &(0x7f0000000140)="01", 0x1) r3 = accept(r1, &(0x7f0000000180)=@caif=@rfm, &(0x7f0000001340)=0x80) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r5, 0x541b, &(0x7f0000000480)) dup2(r3, r5) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000280)=@IORING_OP_RECV=@use_registered_buffer, 0x5) openat(0xffffffffffffffff, 0x0, 0x404100, 0x0) write$P9_RREADLINK(r0, &(0x7f0000001400)=ANY=[@ANYRESOCT, @ANYRES32, @ANYRES32=r4, @ANYRESDEC], 0x10) openat(0xffffffffffffffff, &(0x7f0000000300)='./file0/file0\x00', 0x0, 0x120) getdents(0xffffffffffffffff, &(0x7f0000000340)=""/4096, 0x1000) fstatfs(0xffffffffffffffff, &(0x7f00000000c0)=""/65) sendfile(r0, r1, 0x0, 0x20d315) 08:12:48 executing program 1: perf_event_open(&(0x7f0000001d80)={0x5, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, @perf_bp={0x0, 0x1}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) clone3(&(0x7f00000001c0)={0x0, 0x0, 0x0, 0x0, {0x34}, 0x0, 0x0, 0x0, 0x0}, 0x58) r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000002700)='/proc/stat\x00', 0x0, 0x0) openat$tcp_mem(0xffffffffffffff9c, &(0x7f0000000080)='/proc/sys/net/ipv4/tcp_wmem\x00', 0x1, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) r2 = dup2(r1, r1) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = dup(r3) r5 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$NL80211_CMD_GET_WIPHY(r4, &(0x7f0000000100)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000400)=ANY=[@ANYBLOB='$\x00\x00\x00', @ANYRES16=r5, @ANYBLOB="2503000000000000000000080001000000000008001000000000d84b0503352c9732c684dc039b2bd31e73c90b199686577147cc95b53d31ee360e0021fa00e5ba80142c893339231561a64d0bb9d89cf731297457c855ff04e55369d68fc2a6c6707be7f70a180af36e7d5daa689765cc64c87755543508bebfc6cf4f4594c6b56a9ec8382dc50f3c55917539284c10d8bfd7ee29a2ddf3d15bb45d9954fc569cca05156c0f1c0acb1d8fe015461db73a24c1d0362989f0f8f2ddf0ebce2c39d579ce4432a041bba386b41f721d349742ddd07ee53a3e9744d138a8e1514277", @ANYRES32=0x0, @ANYBLOB="bdc01d4bfb48b410024e766444cf925054d7b9469e580ba8100127f26d04e2f16ddd2b7f65e42c224de79473e2e98dd1646f8c29"], 0x24}}, 0x0) socket$nl_generic(0x10, 0x3, 0x10) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r7 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r7, 0x8933, &(0x7f0000000100)={'wlan0\x00', 0x0}) sendmsg$NL80211_CMD_SET_CQM(r2, &(0x7f0000000240)={0x0, 0xfffffebb, &(0x7f0000000200)={&(0x7f00000002c0)={0x34, r6, 0xc0b, 0x0, 0x0, {{}, {@val={0x8, 0x3, r8}, @void}}, [@NL80211_ATTR_CQM={0x18, 0x5e, 0x0, 0x1, [@NL80211_ATTR_CQM_RSSI_THOLD={0x0, 0x1, [0x8000, 0x9, 0x3, 0x91, 0x2, 0x6, 0xffffff00, 0x8000]}, @NL80211_ATTR_CQM_RSSI_THOLD={0xc, 0x1, [0x0, 0x0]}]}]}, 0x34}}, 0x0) sendmsg$NL80211_CMD_GET_SCAN(r2, &(0x7f0000000280)={&(0x7f0000000140)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f0000000240)={&(0x7f0000000180)={0x1c, r5, 0x200, 0x70bd25, 0x25dfdbfb, {{}, {@val={0x8, 0x3, r8}, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4040000}, 0x0) sendto$unix(r1, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r1, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) sendfile(r1, r0, &(0x7f0000000000)=0x7, 0x2) ioctl$BTRFS_IOC_INO_LOOKUP_USER(0xffffffffffffffff, 0xd000943e, &(0x7f0000002740)={0x0, 0x0, "952a00658ddcc676ee7c64f19a7b924158afa5ab8b853a92d3c0acd2476efc9850d2f8dd57044a7cf9096afa9e68a818afade23ce2c02d0948848877689dc6a04d789cd4bf40c13b4e73d6c42c99d4e9b14f66c58c018c43ea573765c69ab2e16c13cad57bab9e2dd7ac19e8fe540c00c23ca832b52ebc1e039325c85e1d46762fbd4d971b70ee7b1d993188de9886ed1e5ce4f4ce69fc7e2ae68865741991287215bf9bb05cfeba96b49feda42d96fdcfe3a675d76391b64f2cca5cca13fdc20f8bf5c06e25dc398c49577c9b928ebc7b745ae791c9e71f51b2a3a76098248f517e126c503c4dec5af749331b5cb64c0b343c3a64c3e4512893fb9666471ea8", "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"}) 08:12:48 executing program 3: socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r0, 0x541b, &(0x7f0000000480)) dup2(0xffffffffffffffff, r0) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) mount$9p_unix(&(0x7f0000000140)='./file0/../file0\x00', &(0x7f0000002f00)='./file0/../file0\x00', 0x0, 0x21000, 0x0) syz_mount_image$ext4(&(0x7f0000000280)='ext3\x00', &(0x7f00000002c0)='./file0/../file0\x00', 0x4000000, 0x0, 0x0, 0x2044034, &(0x7f0000000040)=ANY=[@ANYBLOB='journal_async_yommit,\x00']) 08:12:48 executing program 7: sendmsg$AUDIT_TRIM(0xffffffffffffffff, &(0x7f0000000280)={&(0x7f0000000100)={0x10, 0x0, 0x0, 0x20000}, 0xc, &(0x7f0000000240)={&(0x7f00000001c0)={0x10, 0x3f6, 0x100, 0x70bd27, 0x25dfdbfc, "", ["", "", "", "", "", "", "", "", "", ""]}, 0x10}, 0x1, 0x0, 0x0, 0x4000081}, 0x0) mlock2(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, @perf_config_ext={0x8}, 0x11010, 0x0, 0x0, 0x0, 0x0, 0x800}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x8) mbind(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x4000, 0x0, 0x0, 0x3) r0 = pkey_alloc(0x0, 0x0) mlock2(&(0x7f0000fff000/0x1000)=nil, 0x1000, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$sock_int(r2, 0x1, 0x12, &(0x7f0000000200)=0x33e0, 0x4) mremap(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) sendmsg$nl_generic(0xffffffffffffffff, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000340)=ANY=[@ANYBLOB="d40000002000210c001000000000000002000000ae009680080022000a3e9ce4d8c2762ff9ba46040893b659739bb5f96c602207000000c1f111044109bafde0897a78eb44338c0cbc86bf56293ee55fc2e0bb0b43645d2456c612cc7191a1cd49d9acd2b2c7e98b6143b66b59663e303cbc6ca0f888bb3730e2fda717c5c05458903eebf4f98129b521ac995853622f691db5a3bc250ecd3e8cadf086a4042a461095362e1a7f5dcd1783eb253ac953405e0ba35a380c00ff00cc9900000000000000001000ff800a0015002c5c282d97000000"], 0xd4}, 0x1, 0x0, 0x0, 0x4000}, 0x20004004) close_range(0xffffffffffffffff, 0xffffffffffffffff, 0x2) madvise(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x2) munmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000) setsockopt$inet6_tcp_int(r2, 0x6, 0x2, &(0x7f0000000040)=0x81, 0x4) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) mbind(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x3c196920d8b85fc5, &(0x7f0000000140)=0x5, 0x5, 0x0) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) pkey_mprotect(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x8, r0) sendfile(r2, r1, 0x0, 0x9bbb) 08:12:48 executing program 0: r0 = syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) sendmsg$NLBL_MGMT_C_ADD(r0, &(0x7f0000000380)={0x0, 0x0, &(0x7f0000000340)={&(0x7f0000000780)=ANY=[@ANYBLOB="240000f2", @ANYRES16=0x0, @ANYBLOB="25760f18274601000000000000000000010000000e0001004e4c42"], 0x24}}, 0x0) syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') r1 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r1, &(0x7f0000000200)=[{&(0x7f0000000040)='3', 0x1}, {&(0x7f0000000100)}], 0x2) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x40a}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) connect$inet6(0xffffffffffffffff, &(0x7f0000000000)={0xa, 0x0, 0x0, @remote, 0x2}, 0x1c) readv(0xffffffffffffffff, &(0x7f0000000100)=[{&(0x7f0000000000)=""/170, 0xaa}], 0x1) r2 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r2, &(0x7f0000000200)=[{&(0x7f0000000040)='3', 0x1}, {&(0x7f0000000100)='5T', 0x2}], 0x2) accept4$packet(0xffffffffffffffff, &(0x7f00000000c0), &(0x7f0000000140)=0x14, 0x80800) ftruncate(0xffffffffffffffff, 0x0) unshare(0x48020200) 08:12:48 executing program 6: r0 = openat(0xffffffffffffffff, 0x0, 0x0, 0x0) fsmount(0xffffffffffffffff, 0x0, 0x0) lseek(0xffffffffffffffff, 0x0, 0x4) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x400}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) preadv(0xffffffffffffffff, &(0x7f00000002c0)=[{&(0x7f0000000300)=""/20, 0x52}, {&(0x7f0000000100)=""/79, 0x4f}, {&(0x7f0000000340)=""/132, 0x7b}, {&(0x7f0000000280)=""/6, 0x6}], 0x4, 0x8000, 0x2) setsockopt$inet6_tcp_int(r2, 0x6, 0xa, &(0x7f0000000180)=0x2, 0x4) setsockopt$inet6_tcp_TCP_MD5SIG(0xffffffffffffffff, 0x6, 0xe, &(0x7f0000000400)={@in6={{0xa, 0x4e21, 0x5, @loopback, 0x7}}, 0x0, 0x0, 0x44, 0x0, "a1544bb8e7e4b211b30b573c1d87157b8725cbd2345f4aea9f7cc869e180aa0ef49e99034036de99f47b274917efa243ddc1f04e6d96435252b1f5825f6f905ce349e20b9307c0bc2fa1dc14c786c9f0"}, 0xd8) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) sendfile(r2, r1, 0x0, 0x9bbb) getsockopt(0xffffffffffffffff, 0x4, 0x7, &(0x7f0000000240)=""/62, 0xffffffffffffffff) r3 = dup3(r2, r0, 0x80000) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) sendmsg$nl_generic(r3, &(0x7f0000000500)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000000200)={&(0x7f00000001c0)={0x1c, 0x21, 0x8, 0x70bd2a, 0x25dfdbfc, {0x7}, [@typed={0x8, 0x2a, 0x0, 0x0, @fd=r4}]}, 0x1c}, 0x1, 0x0, 0x0, 0x11}, 0x0) 08:12:48 executing program 2: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x10000, 0x0, @perf_config_ext={0x2}, 0x0, 0x0, 0x0, 0x3, 0x1, 0x40}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000001080)='/proc/vmallocinfo\x00', 0x0, 0x0) pread64(r0, &(0x7f0000000040)=""/4126, 0x101e, 0x0) r1 = openat$procfs(0xffffffffffffff9c, &(0x7f0000001080)='/proc/vmallocinfo\x00', 0x0, 0x0) pread64(r1, &(0x7f0000000040)=""/4124, 0x101c, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) sendto$unix(r2, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r2, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) ioctl$AUTOFS_DEV_IOCTL_CLOSEMOUNT(r0, 0xc0189375, &(0x7f00000014c0)={{0x1, 0x1, 0x18, r2}, './file0/file0\x00'}) ioctl$SECCOMP_IOCTL_NOTIF_RECV(r1, 0xc0502100, &(0x7f0000001540)) r3 = syz_open_procfs(0x0, &(0x7f0000000000)='mounts\x00') readv(r3, &(0x7f0000001600)=[{&(0x7f00000004c0)=""/4091, 0xffb}], 0x1) r4 = syz_open_procfs(0x0, &(0x7f0000000280)='fd/4\x00') ioctl$FIONREAD(r4, 0x6801, 0x0) syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) mount$9p_unix(&(0x7f0000000140)='./file0/../file0\x00', &(0x7f00000001c0)='./file0\x00', 0x0, 0x173000, 0x0) syz_mount_image$tmpfs(&(0x7f0000000000), &(0x7f0000000080)='./file0/../file0\x00', 0x0, 0x0, 0x0, 0x100000, &(0x7f0000000440)=ANY=[]) mount$bind(&(0x7f00000000c0)='./file0/file0\x00', &(0x7f0000000340)='./file0/../file0\x00', 0x0, 0x17810, 0x0) umount2(&(0x7f0000000200)='./file0/../file0\x00', 0x0) unshare(0x48020200) [ 177.316625] EXT4-fs (sda): Unrecognized mount option "journal_async_yommit" or missing value [ 177.353658] EXT4-fs (loop5): mounted filesystem without journal. Opts: ,errors=continue [ 177.353942] EXT4-fs (loop4): mounted filesystem without journal. Opts: ,errors=continue 08:12:48 executing program 3: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b00000000020000280200000284", 0x62, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x1600}], 0x0, &(0x7f0000012900)=ANY=[]) chdir(&(0x7f0000000040)='./file0\x00') r0 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x0, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000200)='./file1\x00', 0x2, 0x0) mknod$loop(&(0x7f00000002c0)='./file0\x00', 0x4, 0x1) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) rename(&(0x7f0000001380)='./file0/file0\x00', &(0x7f00000013c0)='./file0\x00') write(r2, &(0x7f0000000140)="01", 0x1) r3 = accept(r1, &(0x7f0000000180)=@caif=@rfm, &(0x7f0000001340)=0x80) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r5, 0x541b, &(0x7f0000000480)) dup2(r3, r5) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000280)=@IORING_OP_RECV=@use_registered_buffer, 0x5) openat(0xffffffffffffffff, 0x0, 0x404100, 0x0) write$P9_RREADLINK(r0, &(0x7f0000001400)=ANY=[@ANYRESOCT, @ANYRES32, @ANYRES32=r4, @ANYRESDEC], 0x10) openat(0xffffffffffffffff, &(0x7f0000000300)='./file0/file0\x00', 0x0, 0x120) getdents(0xffffffffffffffff, &(0x7f0000000340)=""/4096, 0x1000) fstatfs(0xffffffffffffffff, &(0x7f00000000c0)=""/65) sendfile(r0, r1, 0x0, 0x20d315) [ 177.727377] EXT4-fs (loop3): mounted filesystem without journal. Opts: ,errors=continue 08:12:49 executing program 4: syz_mount_image$ext4(&(0x7f0000000000)='ext4\x00', &(0x7f0000000100)='./file0\x00', 0x40000, 0x4, &(0x7f0000000200)=[{&(0x7f0000010000)="20000000000100000c000000d40000000f000000010000000000000000000000002000000020000020000000d7f4655fd7f4655f0100ffff53ef010001000000d7f4655f000000000000000001000000000000000b00000000020000280200000284", 0x62, 0x400}, {&(0x7f0000010400)="030000000400000005000000d4000f", 0xf, 0x800}, {&(0x7f0000010500)="ffffffffff070000000000000000000000000000000000000000000000000080ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff", 0x402, 0xc00}, {&(0x7f0000010e00)="ed41000000040000d7f4655fd7f4655fd7f4655f000000000000040002", 0x1d, 0x1600}], 0x0, &(0x7f0000012900)=ANY=[]) chdir(&(0x7f0000000040)='./file0\x00') r0 = openat(0xffffffffffffff9c, &(0x7f0000000080)='./file1\x00', 0x105142, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x0, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000200)='./file1\x00', 0x2, 0x0) mknod$loop(&(0x7f00000002c0)='./file0\x00', 0x4, 0x1) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) rename(&(0x7f0000001380)='./file0/file0\x00', &(0x7f00000013c0)='./file0\x00') write(r2, &(0x7f0000000140)="01", 0x1) r3 = accept(r1, &(0x7f0000000180)=@caif=@rfm, &(0x7f0000001340)=0x80) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r5, 0x541b, &(0x7f0000000480)) dup2(r3, r5) syz_io_uring_submit(0x0, 0x0, &(0x7f0000000280)=@IORING_OP_RECV=@use_registered_buffer, 0x5) openat(0xffffffffffffffff, 0x0, 0x404100, 0x0) write$P9_RREADLINK(r0, &(0x7f0000001400)=ANY=[@ANYRESOCT, @ANYRES32, @ANYRES32=r4, @ANYRESDEC], 0x10) openat(0xffffffffffffffff, &(0x7f0000000300)='./file0/file0\x00', 0x0, 0x120) getdents(0xffffffffffffffff, &(0x7f0000000340)=""/4096, 0x1000) fstatfs(0xffffffffffffffff, &(0x7f00000000c0)=""/65) sendfile(r0, r1, 0x0, 0x20d315) 08:12:49 executing program 5: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4, @perf_bp={&(0x7f0000000000), 0x9}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x10}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x8) setsockopt$inet6_IPV6_HOPOPTS(0xffffffffffffffff, 0x29, 0x36, &(0x7f00000000c0)=ANY=[@ANYBLOB="0400344aa86ae859c573270800000000a9db"], 0x108) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x36, 0x0, 0x0) mlock2(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0) munlock(&(0x7f0000ffc000/0x4000)=nil, 0x4000) r0 = openat$cgroup_ro(0xffffffffffffffff, &(0x7f0000000040)='net_prio.prioidx\x00', 0x0, 0x0) connect$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x9, @remote, 0xffff}, 0x1c) madvise(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x15) 08:12:49 executing program 1: perf_event_open(&(0x7f0000001d80)={0x5, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, @perf_bp={0x0, 0x1}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) clone3(&(0x7f00000001c0)={0x0, 0x0, 0x0, 0x0, {0x34}, 0x0, 0x0, 0x0, 0x0}, 0x58) r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000002700)='/proc/stat\x00', 0x0, 0x0) openat$tcp_mem(0xffffffffffffff9c, &(0x7f0000000080)='/proc/sys/net/ipv4/tcp_wmem\x00', 0x1, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) r2 = dup2(r1, r1) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = dup(r3) r5 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$NL80211_CMD_GET_WIPHY(r4, &(0x7f0000000100)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000400)=ANY=[@ANYBLOB='$\x00\x00\x00', @ANYRES16=r5, @ANYBLOB="2503000000000000000000080001000000000008001000000000d84b0503352c9732c684dc039b2bd31e73c90b199686577147cc95b53d31ee360e0021fa00e5ba80142c893339231561a64d0bb9d89cf731297457c855ff04e55369d68fc2a6c6707be7f70a180af36e7d5daa689765cc64c87755543508bebfc6cf4f4594c6b56a9ec8382dc50f3c55917539284c10d8bfd7ee29a2ddf3d15bb45d9954fc569cca05156c0f1c0acb1d8fe015461db73a24c1d0362989f0f8f2ddf0ebce2c39d579ce4432a041bba386b41f721d349742ddd07ee53a3e9744d138a8e1514277", @ANYRES32=0x0, @ANYBLOB="bdc01d4bfb48b410024e766444cf925054d7b9469e580ba8100127f26d04e2f16ddd2b7f65e42c224de79473e2e98dd1646f8c29"], 0x24}}, 0x0) socket$nl_generic(0x10, 0x3, 0x10) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r7 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r7, 0x8933, &(0x7f0000000100)={'wlan0\x00', 0x0}) sendmsg$NL80211_CMD_SET_CQM(r2, &(0x7f0000000240)={0x0, 0xfffffebb, &(0x7f0000000200)={&(0x7f00000002c0)={0x34, r6, 0xc0b, 0x0, 0x0, {{}, {@val={0x8, 0x3, r8}, @void}}, [@NL80211_ATTR_CQM={0x18, 0x5e, 0x0, 0x1, [@NL80211_ATTR_CQM_RSSI_THOLD={0x0, 0x1, [0x8000, 0x9, 0x3, 0x91, 0x2, 0x6, 0xffffff00, 0x8000]}, @NL80211_ATTR_CQM_RSSI_THOLD={0xc, 0x1, [0x0, 0x0]}]}]}, 0x34}}, 0x0) sendmsg$NL80211_CMD_GET_SCAN(r2, &(0x7f0000000280)={&(0x7f0000000140)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f0000000240)={&(0x7f0000000180)={0x1c, r5, 0x200, 0x70bd25, 0x25dfdbfb, {{}, {@val={0x8, 0x3, r8}, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4040000}, 0x0) sendto$unix(r1, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r1, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) sendfile(r1, r0, &(0x7f0000000000)=0x7, 0x2) ioctl$BTRFS_IOC_INO_LOOKUP_USER(0xffffffffffffffff, 0xd000943e, &(0x7f0000002740)={0x0, 0x0, "952a00658ddcc676ee7c64f19a7b924158afa5ab8b853a92d3c0acd2476efc9850d2f8dd57044a7cf9096afa9e68a818afade23ce2c02d0948848877689dc6a04d789cd4bf40c13b4e73d6c42c99d4e9b14f66c58c018c43ea573765c69ab2e16c13cad57bab9e2dd7ac19e8fe540c00c23ca832b52ebc1e039325c85e1d46762fbd4d971b70ee7b1d993188de9886ed1e5ce4f4ce69fc7e2ae68865741991287215bf9bb05cfeba96b49feda42d96fdcfe3a675d76391b64f2cca5cca13fdc20f8bf5c06e25dc398c49577c9b928ebc7b745ae791c9e71f51b2a3a76098248f517e126c503c4dec5af749331b5cb64c0b343c3a64c3e4512893fb9666471ea8", "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"}) 08:12:49 executing program 7: perf_event_open(&(0x7f0000001d80)={0x5, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x2, @perf_bp={0x0, 0x1}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) clone3(&(0x7f00000001c0)={0x0, 0x0, 0x0, 0x0, {0x34}, 0x0, 0x0, 0x0, 0x0}, 0x58) r0 = openat$procfs(0xffffffffffffff9c, &(0x7f0000002700)='/proc/stat\x00', 0x0, 0x0) openat$tcp_mem(0xffffffffffffff9c, &(0x7f0000000080)='/proc/sys/net/ipv4/tcp_wmem\x00', 0x1, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) r2 = dup2(r1, r1) r3 = socket$nl_generic(0x10, 0x3, 0x10) r4 = dup(r3) r5 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000180), 0xffffffffffffffff) sendmsg$NL80211_CMD_GET_WIPHY(r4, &(0x7f0000000100)={0x0, 0x0, &(0x7f00000000c0)={&(0x7f0000000400)=ANY=[@ANYBLOB='$\x00\x00\x00', @ANYRES16=r5, @ANYBLOB="2503000000000000000000080001000000000008001000000000d84b0503352c9732c684dc039b2bd31e73c90b199686577147cc95b53d31ee360e0021fa00e5ba80142c893339231561a64d0bb9d89cf731297457c855ff04e55369d68fc2a6c6707be7f70a180af36e7d5daa689765cc64c87755543508bebfc6cf4f4594c6b56a9ec8382dc50f3c55917539284c10d8bfd7ee29a2ddf3d15bb45d9954fc569cca05156c0f1c0acb1d8fe015461db73a24c1d0362989f0f8f2ddf0ebce2c39d579ce4432a041bba386b41f721d349742ddd07ee53a3e9744d138a8e1514277", @ANYRES32=0x0, @ANYBLOB="bdc01d4bfb48b410024e766444cf925054d7b9469e580ba8100127f26d04e2f16ddd2b7f65e42c224de79473e2e98dd1646f8c29"], 0x24}}, 0x0) socket$nl_generic(0x10, 0x3, 0x10) r6 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000040), 0xffffffffffffffff) r7 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r7, 0x8933, &(0x7f0000000100)={'wlan0\x00', 0x0}) sendmsg$NL80211_CMD_SET_CQM(r2, &(0x7f0000000240)={0x0, 0xfffffebb, &(0x7f0000000200)={&(0x7f00000002c0)={0x34, r6, 0xc0b, 0x0, 0x0, {{}, {@val={0x8, 0x3, r8}, @void}}, [@NL80211_ATTR_CQM={0x18, 0x5e, 0x0, 0x1, [@NL80211_ATTR_CQM_RSSI_THOLD={0x0, 0x1, [0x8000, 0x9, 0x3, 0x91, 0x2, 0x6, 0xffffff00, 0x8000]}, @NL80211_ATTR_CQM_RSSI_THOLD={0xc, 0x1, [0x0, 0x0]}]}]}, 0x34}}, 0x0) sendmsg$NL80211_CMD_GET_SCAN(r2, &(0x7f0000000280)={&(0x7f0000000140)={0x10, 0x0, 0x0, 0x200}, 0xc, &(0x7f0000000240)={&(0x7f0000000180)={0x1c, r5, 0x200, 0x70bd25, 0x25dfdbfb, {{}, {@val={0x8, 0x3, r8}, @void}}, ["", ""]}, 0x1c}, 0x1, 0x0, 0x0, 0x4040000}, 0x0) sendto$unix(r1, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r1, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) sendfile(r1, r0, &(0x7f0000000000)=0x7, 0x2) ioctl$BTRFS_IOC_INO_LOOKUP_USER(0xffffffffffffffff, 0xd000943e, &(0x7f0000002740)={0x0, 0x0, "952a00658ddcc676ee7c64f19a7b924158afa5ab8b853a92d3c0acd2476efc9850d2f8dd57044a7cf9096afa9e68a818afade23ce2c02d0948848877689dc6a04d789cd4bf40c13b4e73d6c42c99d4e9b14f66c58c018c43ea573765c69ab2e16c13cad57bab9e2dd7ac19e8fe540c00c23ca832b52ebc1e039325c85e1d46762fbd4d971b70ee7b1d993188de9886ed1e5ce4f4ce69fc7e2ae68865741991287215bf9bb05cfeba96b49feda42d96fdcfe3a675d76391b64f2cca5cca13fdc20f8bf5c06e25dc398c49577c9b928ebc7b745ae791c9e71f51b2a3a76098248f517e126c503c4dec5af749331b5cb64c0b343c3a64c3e4512893fb9666471ea8", "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"}) [ 177.968546] EXT4-fs (loop4): mounted filesystem without journal. Opts: ,errors=continue 08:12:49 executing program 6: r0 = openat(0xffffffffffffffff, 0x0, 0x0, 0x0) fsmount(0xffffffffffffffff, 0x0, 0x0) lseek(0xffffffffffffffff, 0x0, 0x4) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x400}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) preadv(0xffffffffffffffff, &(0x7f00000002c0)=[{&(0x7f0000000300)=""/20, 0x52}, {&(0x7f0000000100)=""/79, 0x4f}, {&(0x7f0000000340)=""/132, 0x7b}, {&(0x7f0000000280)=""/6, 0x6}], 0x4, 0x8000, 0x2) setsockopt$inet6_tcp_int(r2, 0x6, 0xa, &(0x7f0000000180)=0x2, 0x4) setsockopt$inet6_tcp_TCP_MD5SIG(0xffffffffffffffff, 0x6, 0xe, &(0x7f0000000400)={@in6={{0xa, 0x4e21, 0x5, @loopback, 0x7}}, 0x0, 0x0, 0x44, 0x0, "a1544bb8e7e4b211b30b573c1d87157b8725cbd2345f4aea9f7cc869e180aa0ef49e99034036de99f47b274917efa243ddc1f04e6d96435252b1f5825f6f905ce349e20b9307c0bc2fa1dc14c786c9f0"}, 0xd8) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) sendfile(r2, r1, 0x0, 0x9bbb) getsockopt(0xffffffffffffffff, 0x4, 0x7, &(0x7f0000000240)=""/62, 0xffffffffffffffff) r3 = dup3(r2, r0, 0x80000) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) sendmsg$nl_generic(r3, &(0x7f0000000500)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000000200)={&(0x7f00000001c0)={0x1c, 0x21, 0x8, 0x70bd2a, 0x25dfdbfc, {0x7}, [@typed={0x8, 0x2a, 0x0, 0x0, @fd=r4}]}, 0x1c}, 0x1, 0x0, 0x0, 0x11}, 0x0) 08:12:49 executing program 2: r0 = openat(0xffffffffffffffff, 0x0, 0x0, 0x0) fsmount(0xffffffffffffffff, 0x0, 0x0) lseek(0xffffffffffffffff, 0x0, 0x4) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x400}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) preadv(0xffffffffffffffff, &(0x7f00000002c0)=[{&(0x7f0000000300)=""/20, 0x52}, {&(0x7f0000000100)=""/79, 0x4f}, {&(0x7f0000000340)=""/132, 0x7b}, {&(0x7f0000000280)=""/6, 0x6}], 0x4, 0x8000, 0x2) setsockopt$inet6_tcp_int(r2, 0x6, 0xa, &(0x7f0000000180)=0x2, 0x4) setsockopt$inet6_tcp_TCP_MD5SIG(0xffffffffffffffff, 0x6, 0xe, &(0x7f0000000400)={@in6={{0xa, 0x4e21, 0x5, @loopback, 0x7}}, 0x0, 0x0, 0x44, 0x0, "a1544bb8e7e4b211b30b573c1d87157b8725cbd2345f4aea9f7cc869e180aa0ef49e99034036de99f47b274917efa243ddc1f04e6d96435252b1f5825f6f905ce349e20b9307c0bc2fa1dc14c786c9f0"}, 0xd8) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) sendfile(r2, r1, 0x0, 0x9bbb) getsockopt(0xffffffffffffffff, 0x4, 0x7, &(0x7f0000000240)=""/62, 0xffffffffffffffff) r3 = dup3(r2, r0, 0x80000) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) sendmsg$nl_generic(r3, &(0x7f0000000500)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000000200)={&(0x7f00000001c0)={0x1c, 0x21, 0x8, 0x70bd2a, 0x25dfdbfc, {0x7}, [@typed={0x8, 0x2a, 0x0, 0x0, @fd=r4}]}, 0x1c}, 0x1, 0x0, 0x0, 0x11}, 0x0) 08:12:49 executing program 5: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4, @perf_bp={&(0x7f0000000000), 0x9}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x10}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x8) setsockopt$inet6_IPV6_HOPOPTS(0xffffffffffffffff, 0x29, 0x36, &(0x7f00000000c0)=ANY=[@ANYBLOB="0400344aa86ae859c573270800000000a9db"], 0x108) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x36, 0x0, 0x0) mlock2(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0) munlock(&(0x7f0000ffc000/0x4000)=nil, 0x4000) r0 = openat$cgroup_ro(0xffffffffffffffff, &(0x7f0000000040)='net_prio.prioidx\x00', 0x0, 0x0) connect$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x9, @remote, 0xffff}, 0x1c) madvise(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x15) 08:12:49 executing program 0: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4, @perf_bp={&(0x7f0000000000), 0x9}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x10}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x8) setsockopt$inet6_IPV6_HOPOPTS(0xffffffffffffffff, 0x29, 0x36, &(0x7f00000000c0)=ANY=[@ANYBLOB="0400344aa86ae859c573270800000000a9db"], 0x108) setsockopt$inet6_opts(0xffffffffffffffff, 0x29, 0x36, 0x0, 0x0) mlock2(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0) munlock(&(0x7f0000ffc000/0x4000)=nil, 0x4000) r0 = openat$cgroup_ro(0xffffffffffffffff, &(0x7f0000000040)='net_prio.prioidx\x00', 0x0, 0x0) connect$inet6(r0, &(0x7f0000000080)={0xa, 0x4e21, 0x9, @remote, 0xffff}, 0x1c) madvise(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x15) 08:12:49 executing program 0: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={&(0x7f00000005c0), 0x5}, 0x10120, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x800000, 0x0, 0x1}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) connect$inet6(0xffffffffffffffff, 0x0, 0x0) r0 = syz_open_procfs(0x0, &(0x7f0000001080)='mountinfo\x00') pread64(r0, &(0x7f0000001e00)=""/4101, 0x1005, 0x5b) sendmmsg$inet6(0xffffffffffffffff, 0x0, 0x0, 0x0) 08:12:49 executing program 1: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) pread64(0xffffffffffffffff, 0x0, 0x7ffffffff000, 0xf0ff1f00000000) bind$inet6(0xffffffffffffffff, &(0x7f0000000040)={0xa, 0x4e23, 0x0, @remote, 0xffff}, 0x1c) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_generic(0xffffffffffffffff, 0x0, 0x41) sendmsg$nl_generic(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f00000011c0)={0x28, 0x10, 0x1, 0x0, 0x0, {}, [@typed={0x5, 0x0, 0x0, 0x0, @str='\x00'}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0xd, 0x0, 0x0, @ipv4=@dev}]}]}, 0x28}}, 0x0) chdir(&(0x7f00000001c0)='./file0\x00') open$dir(&(0x7f0000000000)='.\x00', 0x0, 0x0) perf_event_open(&(0x7f0000001d80)={0x6, 0x80, 0x0, 0x0, 0x0, 0x0, 0x4, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffd, 0x0, @perf_bp={0x0, 0xffffffff81000000}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ptrace(0x11, 0xffffffffffffffff) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) writev(0xffffffffffffffff, &(0x7f00000003c0)=[{&(0x7f0000000000)="0000abe02400030021206cda3b5e5672b89aeddb2a535fbd0706006dff0043a9d7cceb232fb81bf74ebdd05b7677", 0x2e}, {&(0x7f0000000680)="7fd41c04550300020000000000000000010046e92ed2616f72657d044129471d4fd47924fd0900e09e0068deec02", 0x2e}], 0x2) 08:12:49 executing program 7: syz_mount_image$iso9660(&(0x7f0000000000), &(0x7f0000000100)='./file0\x00', 0x0, 0x3, &(0x7f0000000200)=[{&(0x7f0000010000)="01434430303101004c494e55582020202020202020202020202020202020202020202020202020204344524f4d2020202020202020202020202020202020202020202020202020200000000000000000b8000000000000b80000000000000000000000000000000000000000000000000000000000000000010000010100000100080800180000000000001813000000000000000000001500000000220017", 0x9f, 0x8000}, {&(0x7f0000000040)="ff4344303031", 0x6, 0x8800}, {&(0x7f0000010900)="8800170000000000001700080000000008007809140b2a3a0802", 0x1a, 0xb800}], 0x0, &(0x7f0000000080)={[{@check_relaxed}]}) syz_mount_image$vfat(&(0x7f00000000c0), &(0x7f0000000140)='./file0\x00', 0x9, 0x5, &(0x7f0000000480)=[{&(0x7f0000000280)="6958b22e550f15b51a172818a5de71365d14a33aa155c88fb8d00fba7d0ba836ae2b45c4ac5738fadc46ea6b62c600bf949f4fcc25e6ba6b63fe85230379b0009b7d46d4d408a3c3125b2d36c8b49489e619b2d2ace37c73ac715011613c847febc6b7cb31baa09311421b096a180def198eb585a33d4b256e94f625356897b963e440753771f27077faf36d207e4b4103eae4dee6d0aa4b40a811697a16b2fedda3dbed34db72ab97add2b22ab4266847d1f6ce934d072d31c26e7d411dc8e7629bb72967f7c912", 0xc8, 0x7fffffff}, {&(0x7f0000000180)="d0a46edeadc4f5", 0x7, 0x1}, {&(0x7f0000000380)="51393b099148be0f060bfc7bf3f6e64e85631378da49eccfcef35dd9bffc03fa2f7718b4b9cd858fc58eb495158e057fcff297eb57bd6daed20702209c0d1ce4f6c61c5ac428eef9faa95259fed980325ab7f8ebd0c8b134a59ed89be92e4cddbf160fdf9115989558d7140135dddfebb196d078f41eaf07acf9a3aff7b9c9151610bd388f2475544a", 0x89, 0x2}, {&(0x7f00000001c0)="85005eb80ee7deb43fc1b5fa9b31359ed28c6527b069565ae7c974d51e83778b404de8a046c323dc93e5cca582e0b93f", 0x30, 0x8000}, {&(0x7f0000000440)="46c3735ed69910c286396af0b53be53e150f0853d11b25ce5489f2e4b392dceac266651b5c3445342f3c2902b1470aca4836", 0x32, 0x86}], 0x80c4, &(0x7f0000000500)={[{@shortname_winnt}, {@shortname_mixed}, {@uni_xlateno}, {@rodir}], [{@fscontext={'fscontext', 0x3d, 'system_u'}}, {@smackfsroot={'smackfsroot', 0x3d, 'K^\''}}, {@obj_role}]}) [ 178.201985] mac80211_hwsim hwsim11 wlan1: refused to change device tx_queue_len 08:12:49 executing program 0: ioctl$AUTOFS_DEV_IOCTL_PROTOVER(0xffffffffffffffff, 0xc0189372, &(0x7f0000000080)={{0x1, 0x1, 0x18, 0xffffffffffffffff, {0x38}}, './file0\x00'}) ioctl$TIOCL_SETVESABLANK(r0, 0x541c, &(0x7f00000000c0)) r1 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_generic(r1, &(0x7f0000000280)={0x0, 0x0, &(0x7f0000000000)={&(0x7f0000000040)={0x28, 0x12, 0xc21, 0x0, 0x0, {}, [@nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0x0, 0x0, 0x0, @fd}]}, @typed={0x8, 0x35, 0x0, 0x0, @u32}]}, 0x28}}, 0x0) r2 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x42, 0x0) ioctl$FS_IOC_FSSETXATTR(r2, 0x401c5820, &(0x7f0000000000)={0x8020}) bind$bt_l2cap(r2, &(0x7f0000000140)={0x1f, 0x20, @none, 0x7fff}, 0xe) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) sendto$unix(r3, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r3, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) fcntl$F_SET_FILE_RW_HINT(r3, 0x40e, &(0x7f0000000100)=0x3) [ 178.458622] syz-executor.4 (4194) used greatest stack depth: 23912 bytes left [ 178.475426] mac80211_hwsim hwsim11 wlan1: refused to change device tx_queue_len 08:13:03 executing program 6: r0 = openat(0xffffffffffffffff, 0x0, 0x0, 0x0) fsmount(0xffffffffffffffff, 0x0, 0x0) lseek(0xffffffffffffffff, 0x0, 0x4) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x400}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) preadv(0xffffffffffffffff, &(0x7f00000002c0)=[{&(0x7f0000000300)=""/20, 0x52}, {&(0x7f0000000100)=""/79, 0x4f}, {&(0x7f0000000340)=""/132, 0x7b}, {&(0x7f0000000280)=""/6, 0x6}], 0x4, 0x8000, 0x2) setsockopt$inet6_tcp_int(r2, 0x6, 0xa, &(0x7f0000000180)=0x2, 0x4) setsockopt$inet6_tcp_TCP_MD5SIG(0xffffffffffffffff, 0x6, 0xe, &(0x7f0000000400)={@in6={{0xa, 0x4e21, 0x5, @loopback, 0x7}}, 0x0, 0x0, 0x44, 0x0, "a1544bb8e7e4b211b30b573c1d87157b8725cbd2345f4aea9f7cc869e180aa0ef49e99034036de99f47b274917efa243ddc1f04e6d96435252b1f5825f6f905ce349e20b9307c0bc2fa1dc14c786c9f0"}, 0xd8) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) sendfile(r2, r1, 0x0, 0x9bbb) getsockopt(0xffffffffffffffff, 0x4, 0x7, &(0x7f0000000240)=""/62, 0xffffffffffffffff) r3 = dup3(r2, r0, 0x80000) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) sendmsg$nl_generic(r3, &(0x7f0000000500)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000000200)={&(0x7f00000001c0)={0x1c, 0x21, 0x8, 0x70bd2a, 0x25dfdbfc, {0x7}, [@typed={0x8, 0x2a, 0x0, 0x0, @fd=r4}]}, 0x1c}, 0x1, 0x0, 0x0, 0x11}, 0x0) 08:13:03 executing program 1: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) pread64(0xffffffffffffffff, 0x0, 0x7ffffffff000, 0xf0ff1f00000000) bind$inet6(0xffffffffffffffff, &(0x7f0000000040)={0xa, 0x4e23, 0x0, @remote, 0xffff}, 0x1c) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_generic(0xffffffffffffffff, 0x0, 0x41) sendmsg$nl_generic(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f00000011c0)={0x28, 0x10, 0x1, 0x0, 0x0, {}, [@typed={0x5, 0x0, 0x0, 0x0, @str='\x00'}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0xd, 0x0, 0x0, @ipv4=@dev}]}]}, 0x28}}, 0x0) chdir(&(0x7f00000001c0)='./file0\x00') open$dir(&(0x7f0000000000)='.\x00', 0x0, 0x0) perf_event_open(&(0x7f0000001d80)={0x6, 0x80, 0x0, 0x0, 0x0, 0x0, 0x4, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffd, 0x0, @perf_bp={0x0, 0xffffffff81000000}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ptrace(0x11, 0xffffffffffffffff) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) writev(0xffffffffffffffff, &(0x7f00000003c0)=[{&(0x7f0000000000)="0000abe02400030021206cda3b5e5672b89aeddb2a535fbd0706006dff0043a9d7cceb232fb81bf74ebdd05b7677", 0x2e}, {&(0x7f0000000680)="7fd41c04550300020000000000000000010046e92ed2616f72657d044129471d4fd47924fd0900e09e0068deec02", 0x2e}], 0x2) 08:13:03 executing program 5: r0 = socket$inet6_udp(0xa, 0x2, 0x0) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000000040)='setgroups\x00') write$bt_hci(r1, &(0x7f0000001300)=ANY=[@ANYBLOB="013820230000000012dbe6b4d1d76368674a3ef38585c16ef75824ef9d0ed8947ff4cc2517873f"], 0x27) prctl$PR_SET_MM_MAP(0x23, 0xe, &(0x7f0000000080)={&(0x7f0000ffb000/0x4000)=nil, &(0x7f0000ffc000/0x3000)=nil, &(0x7f0000ff0000/0x10000)=nil, &(0x7f0000ff3000/0x2000)=nil, &(0x7f0000003000/0x4000)=nil, &(0x7f0000ff4000/0x4000)=nil, &(0x7f0000ffe000/0x2000)=nil, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ff5000/0x1000)=nil, &(0x7f0000ff8000/0x8000)=nil, &(0x7f0000ff2000/0x1000)=nil, &(0x7f0000000040)="ae19e9710b", 0x5, r1}, 0x68) r2 = add_key$keyring(&(0x7f00000002c0), 0x0, 0x0, 0x0, 0xfffffffffffffffd) add_key$fscrypt_v1(0x0, 0x0, &(0x7f0000000440)={0x0, "9b55f610ffe7b9856842eb69443042b20caac33d7dda6ec6986b177fa13c2bd2c68577ea852c8bb47f31ee549b6a921e231ef07ee0f7fca620564faeeeafa440"}, 0x48, 0x0) add_key$keyring(0x0, &(0x7f0000000440)={'syz', 0x3}, 0x0, 0x0, 0x0) add_key$fscrypt_provisioning(&(0x7f0000000000), &(0x7f0000000140)={'syz', 0x3}, &(0x7f0000000640)=ANY=[@ANYBLOB="02000000000000000102030405060708090a0b0c0d0e0ffd29439a06000000000000001c1d1e1f202122232425262728292a2b2c2d2e2f2025fbb53435363738393a3b3c3d3e3f405c60c24d33c53ec7d97c570cc7eb7e9f3821450041b7f279bdf1acd68d1df22325ec445a3cdebc035a95229bf276942391fa3344dd65335dd235b80917862cd1fcad7832e67fc52fabbbee2622a731831ada03f46396b75240987af3b5e286eafddeae6cc506333fa310b3652f177e40f3e1a285e07e81098b46950fb39d9cd8ad2fde00008819f2bc2042f22c06b9a4b2f7adecde9510cdf7e1d2bd314f4108386a6e5d67b3e5a49ae8522bf4fc3bf98d061a73a592ff8a057555f802ee0b6c9147954229171a76b6bad0088f370cbe52b44572fd9f91676d2ae50784e3f9f53f7720480752cf6572d98827b1a4e7ffce781983f929b419ebbee0681f07"], 0x48, r2) add_key$keyring(&(0x7f0000000240), &(0x7f0000000080)={'syz', 0x3}, 0x0, 0x0, r2) r3 = socket$packet(0x11, 0x2, 0x300) perf_event_open(0x0, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r4 = seccomp$SECCOMP_SET_MODE_FILTER_LISTENER(0x1, 0xa, &(0x7f0000000180)={0x3, &(0x7f0000000100)=[{0xffff, 0x81, 0x40, 0x200}, {0x3f, 0x4, 0x7, 0xfbc}, {0x3, 0xd4, 0x7, 0x5}]}) ioctl$EXT4_IOC_GROUP_ADD(r4, 0x40286608, &(0x7f00000001c0)={0x7ff, 0x400, 0x1, 0x4, 0x86, 0x303}) clone3(&(0x7f0000000ac0)={0x17412c500, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) ioctl$BTRFS_IOC_QGROUP_ASSIGN(r3, 0x40189429, &(0x7f0000000200)={0x0, 0x5, 0x8}) 08:13:03 executing program 4: mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', &(0x7f00000000c0), 0x2, &(0x7f0000000680)=ANY=[@ANYBLOB='trans=fd,rfdno=', @ANYRESHEX, @ANYBLOB=',wfdno=', @ANYRESHEX, @ANYBLOB="e166583c26ae60b03490bc872b63bfc5e1f2e1c4df90732acab678c6412683e521fb1dca46d1ff396b5910b45a54f220663b1779806153dbf11319db6b98a275ae2f5557", @ANYRESHEX=0x0, @ANYBLOB=',posixacl,loose,version=9p2000.u,dfltgid=', @ANYRESHEX=0x0, @ANYBLOB=',version=9p2000,fowner=', @ANYRESDEC=0x0, @ANYBLOB=',dont_hash,defcontext=unconfined_u,measure,smackfshat=\',\'A,seclabel,pcr=00000000000000000051,defcontext=user_u,appraise,\x00']) ioctl$sock_FIOGETOWN(0xffffffffffffffff, 0x8903, &(0x7f00000009c0)=0x0) r1 = open(&(0x7f0000000140)='./file1\x00', 0x100, 0x38) statx(0xffffffffffffffff, &(0x7f0000000180)='./file1\x00', 0x100, 0x8, &(0x7f00000001c0)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) lstat(&(0x7f00000002c0)='./file1\x00', &(0x7f0000000300)={0x0, 0x0, 0x0, 0x0, 0x0, 0x0}) getsockopt$inet_IP_XFRM_POLICY(0xffffffffffffffff, 0x0, 0x11, &(0x7f0000000380)={{{@in, @in6=@mcast2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0}}, {{@in=@multicast1}, 0x0, @in6=@loopback}}, &(0x7f00000004c0)=0xe8) mount$9p_fd(0x0, &(0x7f0000000040)='./file1\x00', &(0x7f00000000c0), 0x2, &(0x7f0000000500)={'trans=fd,', {}, 0x2c, {'wfdno', 0x3d, r1}, 0x2c, {[{@dfltgid={'dfltgid', 0x3d, r2}}, {@posixacl}, {@loose}, {@version_u}, {@dfltgid={'dfltgid', 0x3d, r3}}, {@version_9p2000}], [{@fowner_eq={'fowner', 0x3d, r4}}, {@dont_hash}, {@defcontext={'defcontext', 0x3d, 'unconfined_u'}}, {@measure}, {@smackfshat={'smackfshat', 0x3d, '\',\'A'}}, {@seclabel}, {@pcr={'pcr', 0x3d, 0x33}}, {@defcontext={'defcontext', 0x3d, 'user_u'}}, {@appraise}]}}) sendmsg$unix(0xffffffffffffffff, &(0x7f0000000b40)={&(0x7f0000000140)=@abs={0x0, 0x0, 0x4e22}, 0x6e, &(0x7f00000001c0), 0x0, &(0x7f0000000a00)=[@rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @rights={{0x30, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee00}}}, @rights={{0x1c, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xffffffffffffffff}}}, @rights={{0x24, 0x1, 0x1, [0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff, 0xffffffffffffffff]}}, @cred={{0x1c, 0x1, 0x2, {0x0, 0xee00}}}, @cred={{0x1c, 0x1, 0x2, {r0, 0x0, r2}}}], 0x138, 0x20008000}, 0x20000000) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0xa01, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xfffffffffffffffc, 0xffffffffffffffff, 0x0) syz_mount_image$ext4(0x0, 0x0, 0x0, 0x1, &(0x7f0000000440)=[{&(0x7f0000000040)=' ', 0x1}], 0x0, 0x0) r5 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000000100)='environ\x00') socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) sendto$unix(r6, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r6, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) ioctl$FS_IOC_FSSETXATTR(r6, 0x401c5820, &(0x7f0000000200)={0x7, 0x18, 0x6, 0x8, 0x3}) readv(r5, &(0x7f0000000280), 0x1000000000000048) ioctl$TIOCGSID(r5, 0x5429, &(0x7f0000000000)=0x0) syz_open_procfs(r7, &(0x7f0000000080)='syscall\x00') mkdirat(r5, &(0x7f00000000c0)='./file0\x00', 0x100) ioctl$AUTOFS_DEV_IOCTL_READY(0xffffffffffffffff, 0xc0189376, 0x0) 08:13:03 executing program 0: r0 = fsopen(&(0x7f00000000c0)='rpc_pipefs\x00', 0x0) fsmount(r0, 0x1, 0xa) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) fsmount(r0, 0x0, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r1, 0x541b, &(0x7f0000000480)) sendfile(r1, r0, &(0x7f0000000000)=0x9, 0x401) close(r0) 08:13:03 executing program 3: r0 = socket$inet6_udplite(0xa, 0x2, 0x88) close_range(r0, 0xffffffffffffffff, 0x0) r1 = socket$inet6_udp(0xa, 0x2, 0x0) ioctl$TIOCGSOFTCAR(0xffffffffffffffff, 0x80045440, &(0x7f0000000100)) ioctl$AUTOFS_IOC_FAIL(0xffffffffffffffff, 0x9361, 0x9) sendmsg$inet(0xffffffffffffffff, &(0x7f00000014c0)={0x0, 0x0, &(0x7f0000001400)=[{0x0}, {0x0}], 0x2, &(0x7f0000001480)=[@ip_ttl={{0x14, 0x0, 0x2, 0x6}}], 0x18}, 0x0) fsetxattr$security_capability(r1, &(0x7f00000000c0), &(0x7f0000000140)=@v2={0x2000000, [{0x0, 0x2}, {0x40, 0xffff}]}, 0x14, 0x0) ioctl$HIDIOCINITREPORT(0xffffffffffffffff, 0x41015500, 0x20000000) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7, 0x0, @perf_config_ext={0xfffffffffffff0ef}, 0x0, 0x0, 0x2, 0x5, 0x0, 0xffffff7c, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r2 = socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFINDEX_80211(r2, 0x8933, &(0x7f00000000c0)={'wlan0\x00', 0x0}) r4 = syz_genetlink_get_family_id$nl80211(&(0x7f0000000000), 0xffffffffffffffff) sendmsg$NL80211_CMD_SET_INTERFACE(r2, &(0x7f0000000100)={0x0, 0x0, &(0x7f0000000140)={&(0x7f0000000280)=ANY=[@ANYBLOB='$\x00\x00\x00', @ANYRES16=r4, @ANYBLOB="010000000000000000000600000008000300f54affa059c5d724ac550f7c8a8827018ce737a157c8f88745a457c43f7c0d3f96c6ed19623455cbe38dd89055abcf9862ea2d5aa49b506decde50bd1cf5a0ae80b4895d3f73dd2f3642092d06b09e27383aa94c120a85a4eb000200000f842bc9048159e42672f0ca9400c77e4263996a854d61fed55704c215ec810e856c9ef0d999bcd006d1740e5e94c77a", @ANYRES32=r3, @ANYBLOB="0800050000000000"], 0x24}}, 0x0) r5 = openat$vga_arbiter(0xffffffffffffff9c, &(0x7f00000001c0), 0x40001, 0x0) r6 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000000040)='mountinfo\x00') dup2(r5, r6) sendmsg$NL80211_CMD_TDLS_CANCEL_CHANNEL_SWITCH(0xffffffffffffffff, &(0x7f0000000440)={&(0x7f0000000340)={0x10, 0x0, 0x0, 0x4000000}, 0xc, &(0x7f0000000400)={&(0x7f0000000380)={0x74, 0x0, 0x200, 0x70bd29, 0x25dfdbff, {{}, {@void, @val={0xc, 0x99, {0x5, 0x37}}}}, [@NL80211_ATTR_MAC={0xa, 0x6, @device_b}, @NL80211_ATTR_MAC={0xa, 0x6, @broadcast}, @NL80211_ATTR_MAC={0xa, 0x6, @device_b}, @NL80211_ATTR_MAC={0xa, 0x6, @device_b}, @NL80211_ATTR_MAC={0xa, 0x6, @broadcast}, @NL80211_ATTR_MAC={0xa, 0x6, @device_b}, @NL80211_ATTR_MAC={0xa}]}, 0x74}, 0x1, 0x0, 0x0, 0x10}, 0x8800) sendmsg$IPVS_CMD_GET_SERVICE(r6, &(0x7f0000000200)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x2209000}, 0xc, &(0x7f0000000180)={&(0x7f0000000080)={0x28, 0x0, 0x200, 0x70bd25, 0x25dfdbfc, {}, [@IPVS_CMD_ATTR_SERVICE={0xc, 0x1, 0x0, 0x1, [@IPVS_SVC_ATTR_PORT={0x6, 0x4, 0x4e23}]}, @IPVS_CMD_ATTR_TIMEOUT_TCP_FIN={0x8, 0x5, 0x10000}]}, 0x28}}, 0x44) ioctl$FITRIM(r2, 0xc0185879, &(0x7f00000001c0)={0xfb7e, 0x0, 0x8}) fcntl$dupfd(0xffffffffffffffff, 0x0, 0xffffffffffffffff) 08:13:03 executing program 2: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffe, 0x0, @perf_config_ext={0x9}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ftruncate(0xffffffffffffffff, 0x1000003) ioctl$FS_IOC_FSSETXATTR(0xffffffffffffffff, 0x40086602, &(0x7f0000000000)) write$P9_RREADLINK(0xffffffffffffffff, &(0x7f00000002c0)=ANY=[], 0x10) r0 = syz_mount_image$ext4(0x0, &(0x7f0000000100)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000280)='./file0\x00', 0x46e2, 0x0) ftruncate(r1, 0x1000003) fallocate(r1, 0x3, 0x900500, 0x30000000000) ioctl$BTRFS_IOC_SET_RECEIVED_SUBVOL(r0, 0xc0c89425, &(0x7f00000002c0)={"d56209d4611afb72ddd7866ca8a797cd", 0x0, 0x0, {0xbabd000000000000, 0x4fa}, {0x80000000, 0x8}, 0x2, [0x3f, 0x5, 0x17c, 0x0, 0xe6ae5eb, 0x4, 0x7e91, 0x8000, 0x0, 0x1ff, 0x8001, 0x5, 0xee, 0x101, 0x7fffffff, 0x497f]}) openat(r1, &(0x7f0000000040)='./file0\x00', 0x183000, 0x0) keyctl$KEYCTL_RESTRICT_KEYRING(0x1d, 0x0, &(0x7f00000000c0)='rxrpc\x00', &(0x7f0000001400)=@keyring) mount$9p_unix(&(0x7f00000003c0)='./file0\x00', &(0x7f00000001c0)='./file0/../file0\x00', 0x0, 0x11eb806, 0x0) r2 = socket$unix(0x1, 0x5, 0x0) fchown(r2, 0xffffffffffffffff, 0x0) pivot_root(&(0x7f0000000180)='./file0/../file0\x00', &(0x7f0000000240)='./file0\x00') mount(&(0x7f0000000000)=ANY=[@ANYBLOB], &(0x7f0000000080)='./file0/../file0\x00', &(0x7f0000000140)='reiserfs\x00', 0x8, &(0x7f0000000200)='^},\x00') 08:13:03 executing program 7: r0 = perf_event_open(&(0x7f0000000480)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x7f, 0x0, @perf_bp={0x0}, 0x0, 0x1}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = perf_event_open(&(0x7f0000001d80)={0x2, 0xfe5b, 0x70, 0x0, 0x0, 0x0, 0x0, 0x2, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) writev(r0, &(0x7f0000000400)=[{&(0x7f00000002c0)="aed95588b23f31eb352cd6d87c8df75a8c07077f3490ba301c04f1ae63fd7579e8924adb09c675a33faebc1e31bf005cfe47379ddfece08ea938c730c49e8121", 0x40}, {&(0x7f0000000300)="b851b213001edc456405ca325d9c7037754aacb6f108c4249fab247bfb5ac6d5ed9fb14ce11bcd54e00acdee861e1ff5d11521f0440ad67f09caae80390cc7d3f1b016608d0767ec0244a700f7dec15fc78db7f40b84c67d004880281642ebaada53f7c63e092126d310f7cefcd4bf1986aa67ae1c732941fd3768b5437c86670cd22eb3b035aebb99b06f356ac3368f14aeb70ef6c1dbefcc8f3ec3d8131fb191b2b445522d27170e96cd5de322c21f021f2f7910a34e564936ddb0d2bb48e1a27f2688ae890b95a16ed2ebbc9a372a8ec3bbd079aa4533e1d4f880ed", 0xdd}, {&(0x7f0000000500)="6a93ad6b041cebd3e40c3cbd727de2c2c2f4bd804228cf734bd3c7d58f617d172a35cb449dfc580ace32e43b8f3d110ca3d5ac773bef18d6efb65ec80cdecfcbef6e357d7fa23d69c377460eaa473885ebfc7e43627ab0252cf50b02cc650ad08ba28e160ff3128378ac7aa63a0322dde4afe8a684025cb13929f90dc1040e475f2646c5dbe938063fb6421b5a", 0x8d}], 0x3) mmap(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x0, 0x13, r1, 0x0) getsockopt$sock_cred(r0, 0x1, 0x11, &(0x7f00000001c0)={0x0}, &(0x7f0000000200)=0xc) perf_event_open(&(0x7f0000000140)={0x5, 0x80, 0x3, 0x2, 0x0, 0x5, 0x0, 0x0, 0x0, 0xd, 0x1, 0x1, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x1, 0x0, 0x0, 0x0, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, 0x1, 0x1, 0x1, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, 0x1, 0x0, 0x1, 0x0, 0x0, 0x0, 0x2, @perf_bp={0x0, 0x4}, 0x4, 0x7, 0x81, 0x6, 0x2, 0x1f, 0x5, 0x0, 0xbd2, 0x0, 0x6}, r2, 0x8, r1, 0x3) r3 = fsopen(&(0x7f00000000c0)='ramfs\x00', 0x0) fsconfig$FSCONFIG_CMD_CREATE(r3, 0x6, 0x0, 0x0, 0x0) socket$inet6_udplite(0xa, 0x2, 0x88) ioctl$BTRFS_IOC_GET_SUBVOL_INFO(r1, 0x81f8943c, &(0x7f00000005c0)) tee(0xffffffffffffffff, 0xffffffffffffffff, 0x800, 0x0) writev(0xffffffffffffffff, &(0x7f0000000080)=[{&(0x7f0000000000)="fec16210336d9f15c3f8400da3694e40385168dc10f8961e29124019f32e47787236a0e855ee8464b55fe708ef42b431889a61e2e1fac8c5d0ed57ccd35f1bedc03e0129cf02acb9595142c9c51b62a981907b0a776e02fd43307e22511a07d327d39ba89f", 0x65}], 0x1) r4 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x42, 0x0) ioctl$FS_IOC_FSSETXATTR(r4, 0x401c5820, &(0x7f0000000000)={0x8020}) r5 = openat(r4, &(0x7f0000000100)='./file1\x00', 0x10b242, 0x0) ioctl$FS_IOC_FSSETXATTR(r5, 0x401c5820, &(0x7f0000000000)={0x8020}) setsockopt$inet6_udp_int(r5, 0x11, 0x67, &(0x7f0000000440)=0x2, 0x4) perf_event_open(&(0x7f0000000240)={0x5, 0x80, 0xbb, 0x81, 0x9, 0x3, 0x0, 0xffff, 0x444, 0xc, 0x1, 0x1, 0x1, 0x1, 0x1, 0x1, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, 0x1, 0x1, 0x0, 0x3, 0x1, 0x1, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x1, 0x0, 0x0, 0x0, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, 0x0, 0x1, 0x1, 0x0, 0xfffffffa, 0x2, @perf_bp={&(0x7f0000000100), 0xa}, 0x1, 0x2, 0x3, 0x8, 0x0, 0x2, 0x1, 0x0, 0x101, 0x0, 0x8}, 0x0, 0x2, 0xffffffffffffffff, 0x4) eventfd(0x6) pwritev(0xffffffffffffffff, &(0x7f0000000980)=[{&(0x7f00000007c0)="7e952fbb03ab0c9c579459cdd8e1b2949e41a4f1f8a5aa2e0e23062a95d8d003e8b3ced2002d05867bd56c07fc6510fc58524fb673903b19ebb42be2da85988e967c385b3f578b6ab651362c36ef9d3c2909ca17b75a550299faa452efe2610aa730f81f0f0c54ec84e8b60d3c0cae403be092870bdefcf5dc81abd0afef1ba436042c3c2fe1c37397a62e8ff40074b0ede93ac38fc32fd4df421f85858c9c6c0d57819991d007e9303a732cb32781116a23e3fcc15bee6184fa53911f606b2e35b405b09dc9bcbe38dc5c3a2916e3d174b6e38546a3d3caf6427667ee240e0cac1c7b48c09f2d6c", 0xe8}, {&(0x7f00000008c0)="3bc28a1b8a84d95f38a0534bd760b1cb7e46fe3ccd102d93a9f13334c87cb97dcc86c4e91022df9a627f39df6f15b4d7242e8eb36ed70e102759e17bad25ef090f6ab9bafce489bf690c614d6571a38b9076ef8591070ea02ac04a31208a78df09f9e2a7ed88f84170f24eb93cbf59fac7920c04b917beb2b1c2bec727770459f137a12cd31b318c588796", 0x8b}], 0x2, 0x5, 0x3) 08:13:03 executing program 4: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) pread64(0xffffffffffffffff, 0x0, 0x7ffffffff000, 0xf0ff1f00000000) bind$inet6(0xffffffffffffffff, &(0x7f0000000040)={0xa, 0x4e23, 0x0, @remote, 0xffff}, 0x1c) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_generic(0xffffffffffffffff, 0x0, 0x41) sendmsg$nl_generic(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f00000011c0)={0x28, 0x10, 0x1, 0x0, 0x0, {}, [@typed={0x5, 0x0, 0x0, 0x0, @str='\x00'}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0xd, 0x0, 0x0, @ipv4=@dev}]}]}, 0x28}}, 0x0) chdir(&(0x7f00000001c0)='./file0\x00') open$dir(&(0x7f0000000000)='.\x00', 0x0, 0x0) perf_event_open(&(0x7f0000001d80)={0x6, 0x80, 0x0, 0x0, 0x0, 0x0, 0x4, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffd, 0x0, @perf_bp={0x0, 0xffffffff81000000}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ptrace(0x11, 0xffffffffffffffff) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) writev(0xffffffffffffffff, &(0x7f00000003c0)=[{&(0x7f0000000000)="0000abe02400030021206cda3b5e5672b89aeddb2a535fbd0706006dff0043a9d7cceb232fb81bf74ebdd05b7677", 0x2e}, {&(0x7f0000000680)="7fd41c04550300020000000000000000010046e92ed2616f72657d044129471d4fd47924fd0900e09e0068deec02", 0x2e}], 0x2) 08:13:03 executing program 0: r0 = fsopen(&(0x7f00000000c0)='rpc_pipefs\x00', 0x0) fsmount(r0, 0x1, 0xa) fsconfig$FSCONFIG_CMD_CREATE(r0, 0x6, 0x0, 0x0, 0x0) fsmount(r0, 0x0, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r1, 0x541b, &(0x7f0000000480)) sendfile(r1, r0, &(0x7f0000000000)=0x9, 0x401) close(r0) 08:13:03 executing program 0: r0 = inotify_init1(0x0) syz_mount_image$nfs4(0x0, &(0x7f0000005040)='./file0\x00', 0x0, 0x0, 0x0, 0x0, 0x0) syz_mount_image$msdos(&(0x7f00000000c0), &(0x7f0000000180)='./file0\x00', 0x1000, 0x4, &(0x7f0000000480)=[{&(0x7f0000000280)="2dd2e8be3f6f543afdec2f05ad14216aadc7b986c417c17b5adaffd64cd2b7ead288093ad1e3fae0b30839edf6e1939f84bc81ffdbdcb7b97b5a6d8169abd190b227f51f013e5ec9b7e741320627d4c545c9e3383cba1a16d282a9ad7c7b819dd0c0a80910d22aae2958eaf7027901bbe2416c0bc35d7afc50135e33d8723ccf28c108009b0731246682c5ae23b9", 0x8e, 0x100000001}, {&(0x7f00000001c0)="a5127ee168fc499b551384e928c858f340dabcab4118d2a834a9fb8323d8f721b8a30b55536c2755c8fbb9049e227c8085aa23c644fdccacd77ce01edde8982c17d1e35c038143919bdca8361b5a9a", 0x4f, 0x2}, {&(0x7f0000000340)="8f65ecd267c33840cb0b366ff5be5af0", 0x10, 0x4b4}, {&(0x7f0000000380)="c3bb52f049be7675d439841e8948e289f3cacd13c0dcbbaca13455cda5972b87c2c86d23eead56c211f8cc6e9177721eb295f9f56c9256c359a30fe14eab5d3dbdd8cffbb3bf85d9672c9502a7d3c44cfa6d38b22bc6400796383729f958a0e3f319af4c637657de746ab522cf727ae722ddbe9a03c78e2166d8a1b166b7d3c458329225579a52385a6cee4293048c01d7f85d3ba53719017991c37f84ec2797a22becdafdebdf9865bea017eb0659cc3642a90af83d73f5bac95200c7d79b5aee3f159c518a6f2b33898923aeaa3d1ff6b6095d48c3ee0130c962087c0d1225cf52dd4a05824a4d13a3fcd198", 0xed, 0x4}], 0x200000, &(0x7f0000000580)=ANY=[@ANYBLOB='nodots,quiet,dots,check=relaxed,discard,usefree,subj_role=[,fowner=', @ANYRESDEC=0xee01, @ANYBLOB="2c66736e616d653d5c242ceee5084e6466756e633d4649524d574152455f434845434b2c00"]) r1 = perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x1, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0, 0x6}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r2 = memfd_create(&(0x7f0000000080)='ext4\x00', 0x0) r3 = openat$ttyS3(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) dup2(r2, r3) ioctl$PERF_EVENT_IOC_PERIOD(r1, 0x40082404, &(0x7f0000000240)=0x6) r4 = socket$inet6_icmp_raw(0xa, 0x3, 0x3a) connect$inet6(r4, &(0x7f0000000100)={0xa, 0x0, 0x0, @loopback}, 0x1c) sendmmsg$inet6(r4, &(0x7f0000007cc0)=[{{0x0, 0x0, 0x0}}], 0x1, 0x4000850) r5 = fcntl$dupfd(r0, 0x0, 0xffffffffffffffff) inotify_add_watch(r5, &(0x7f0000000140)='./file0\x00', 0x0) rmdir(&(0x7f0000000000)='./file0\x00') creat(&(0x7f0000000040)='./file0\x00', 0xc1) 08:13:03 executing program 6: r0 = openat(0xffffffffffffffff, 0x0, 0x0, 0x0) fsmount(0xffffffffffffffff, 0x0, 0x0) lseek(0xffffffffffffffff, 0x0, 0x4) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x4307, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext, 0x0, 0x0, 0x400}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) preadv(0xffffffffffffffff, &(0x7f00000002c0)=[{&(0x7f0000000300)=""/20, 0x52}, {&(0x7f0000000100)=""/79, 0x4f}, {&(0x7f0000000340)=""/132, 0x7b}, {&(0x7f0000000280)=""/6, 0x6}], 0x4, 0x8000, 0x2) setsockopt$inet6_tcp_int(r2, 0x6, 0xa, &(0x7f0000000180)=0x2, 0x4) setsockopt$inet6_tcp_TCP_MD5SIG(0xffffffffffffffff, 0x6, 0xe, &(0x7f0000000400)={@in6={{0xa, 0x4e21, 0x5, @loopback, 0x7}}, 0x0, 0x0, 0x44, 0x0, "a1544bb8e7e4b211b30b573c1d87157b8725cbd2345f4aea9f7cc869e180aa0ef49e99034036de99f47b274917efa243ddc1f04e6d96435252b1f5825f6f905ce349e20b9307c0bc2fa1dc14c786c9f0"}, 0xd8) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) sendfile(r2, r1, 0x0, 0x9bbb) getsockopt(0xffffffffffffffff, 0x4, 0x7, &(0x7f0000000240)=""/62, 0xffffffffffffffff) r3 = dup3(r2, r0, 0x80000) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) sendmsg$nl_generic(r3, &(0x7f0000000500)={&(0x7f0000000040)={0x10, 0x0, 0x0, 0x8000}, 0xc, &(0x7f0000000200)={&(0x7f00000001c0)={0x1c, 0x21, 0x8, 0x70bd2a, 0x25dfdbfc, {0x7}, [@typed={0x8, 0x2a, 0x0, 0x0, @fd=r4}]}, 0x1c}, 0x1, 0x0, 0x0, 0x11}, 0x0) 08:13:03 executing program 7: mlock2(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x80, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x1, @perf_config_ext={0x8}, 0x11010, 0x4002, 0x0, 0x0, 0x0, 0x800}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) mbind(&(0x7f0000ffd000/0x3000)=nil, 0x3000, 0x0, 0x0, 0x0, 0x3) r0 = pkey_alloc(0x0, 0x0) mlock2(&(0x7f0000fff000/0x1000)=nil, 0x1000, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000080)='/proc/self/exe\x00', 0x0, 0x0) r2 = socket$inet6_tcp(0xa, 0x1, 0x0) setsockopt$sock_int(r2, 0x1, 0x12, &(0x7f0000000200)=0x33de, 0x4) mremap(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x4000, 0x2, &(0x7f0000ffc000/0x4000)=nil) mbind(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x0, &(0x7f00000001c0)=0xffffffffffffffff, 0x0, 0x0) sendmsg$nl_generic(0xffffffffffffffff, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000180)={&(0x7f0000000440)=ANY=[@ANYBLOB="740100002000210c00100000000000000200000095009680080022000a01010139c2762ff9ba46040893b659739bb5f96c60227a0b25e7c1f111044109bafde0897a78eb44338c0cbc86bf56293ee55fc2e0bb0b43645d2456c612cc7191a1cd49d9acd2b2c7e98b6167be6b59663e303cbc6ca0f888bb3730e2fda717c5c05458903eebf4f98129b521ac995853622f69231db5a3bc250ecd3e8cadf086a4042a460800ff0000000000000000d4f928172816bc15e024eb08f595857594b3870a6d0d57cb57a54c262b69ea366d3fccaf91b23a4131515d2217fc18417ec96970fac8ea80fde5cea1fa8e008715dff25d0089f332b1a55840cea9d9f8917bdc7040689c4a8eacb4861c663a7058e589fe63f3150ad422bf29c73dbfd0bf10a2f8b10a481f34a6e4bedd9fe212b9d35148901eef64928d4285244b5e251f31a9a365e849d58c8d566aaa2e07059af5c37ea51f48f6226a0d6f6731566fdd37d0d550a9a2c014c4f3e08c2b6838e8eecf5ee600000096b1f891cb82b3ecc1bc94fdbda098da12e74b89b0de61dfe663108d93e79f7512c1503a6cf8e559f3c0409821983b6b559a294f0e090000001f39523df749d6045f2712e50bcb9146d115c1afe010ab936578e47b91d1242b6230c1fd39cb2682b8b3451bffeb10d11827d3a945a1a2fbbbafaa566cedd54b7d2fefc908"], 0x174}, 0x1, 0x0, 0x0, 0x4000}, 0x20004004) close_range(0xffffffffffffffff, 0xffffffffffffffff, 0x2) madvise(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x2) munmap(&(0x7f0000ffe000/0x1000)=nil, 0x1000) setsockopt$inet6_tcp_int(r2, 0x6, 0x2, &(0x7f0000000040)=0x81, 0x4) bind$inet6(r2, &(0x7f00000000c0)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) mbind(&(0x7f0000ffc000/0x2000)=nil, 0x2000, 0x3c196920d8b85fc5, &(0x7f0000000140)=0x5, 0x5, 0x0) connect$inet6(r2, &(0x7f0000000000)={0xa, 0x4e20, 0x0, @loopback}, 0x1c) pkey_mprotect(&(0x7f0000ffd000/0x2000)=nil, 0x2000, 0x8, r0) sendfile(r2, r1, 0x0, 0x9bbb) [ 192.569586] FAT-fs (loop0): Unrecognized mount option "subj_role=[" or missing value 08:13:03 executing program 2: keyctl$assume_authority(0x10, 0x0) r0 = socket$inet6_udp(0xa, 0x2, 0x0) r1 = openat(0xffffffffffffff9c, &(0x7f0000000100)='./file1\x00', 0x42, 0x0) ioctl$FS_IOC_FSSETXATTR(r1, 0x401c5820, &(0x7f0000000000)={0x8020}) connect$inet6(r1, &(0x7f0000000000)={0xa, 0x4e24, 0x0, @remote, 0x3db}, 0x1c) sendmsg$inet(r0, &(0x7f0000001880)={&(0x7f0000000180)={0x2, 0x4e23, @loopback}, 0x51, 0x0, 0x0, &(0x7f00000017c0)=[@ip_retopts={{0x24, 0x0, 0x7, {[@timestamp_prespec={0x44, 0x14, 0xc, 0x3, 0x0, [{}, {@empty}]}]}}}], 0x28}, 0x0) [ 192.859849] audit: type=1400 audit(1698567183.989:11): avc: denied { write } for pid=4273 comm="syz-executor.0" scontext=system_u:system_r:kernel_t:s0 tcontext=system_u:system_r:kernel_t:s0 tclass=perf_event permissive=1 [ 193.001007] mac80211_hwsim hwsim11 wlan1: refused to change device tx_queue_len [ 193.026382] FAT-fs (loop0): Unrecognized mount option "subj_role=[" or missing value [ 193.103761] syz-executor.2 (289) used greatest stack depth: 23832 bytes left 08:13:16 executing program 4: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) pread64(0xffffffffffffffff, 0x0, 0x7ffffffff000, 0xf0ff1f00000000) bind$inet6(0xffffffffffffffff, &(0x7f0000000040)={0xa, 0x4e23, 0x0, @remote, 0xffff}, 0x1c) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_generic(0xffffffffffffffff, 0x0, 0x41) sendmsg$nl_generic(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f00000011c0)={0x28, 0x10, 0x1, 0x0, 0x0, {}, [@typed={0x5, 0x0, 0x0, 0x0, @str='\x00'}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0xd, 0x0, 0x0, @ipv4=@dev}]}]}, 0x28}}, 0x0) chdir(&(0x7f00000001c0)='./file0\x00') open$dir(&(0x7f0000000000)='.\x00', 0x0, 0x0) perf_event_open(&(0x7f0000001d80)={0x6, 0x80, 0x0, 0x0, 0x0, 0x0, 0x4, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffd, 0x0, @perf_bp={0x0, 0xffffffff81000000}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ptrace(0x11, 0xffffffffffffffff) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) writev(0xffffffffffffffff, &(0x7f00000003c0)=[{&(0x7f0000000000)="0000abe02400030021206cda3b5e5672b89aeddb2a535fbd0706006dff0043a9d7cceb232fb81bf74ebdd05b7677", 0x2e}, {&(0x7f0000000680)="7fd41c04550300020000000000000000010046e92ed2616f72657d044129471d4fd47924fd0900e09e0068deec02", 0x2e}], 0x2) 08:13:16 executing program 5: r0 = socket$inet6_udp(0xa, 0x2, 0x0) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x0, 0x0, @ipv4={'\x00', '\xff\xff', @local}}, 0x1c) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = syz_open_procfs(0xffffffffffffffff, &(0x7f0000000040)='setgroups\x00') write$bt_hci(r1, &(0x7f0000001300)=ANY=[@ANYBLOB="013820230000000012dbe6b4d1d76368674a3ef38585c16ef75824ef9d0ed8947ff4cc2517873f"], 0x27) prctl$PR_SET_MM_MAP(0x23, 0xe, &(0x7f0000000080)={&(0x7f0000ffb000/0x4000)=nil, &(0x7f0000ffc000/0x3000)=nil, &(0x7f0000ff0000/0x10000)=nil, &(0x7f0000ff3000/0x2000)=nil, &(0x7f0000003000/0x4000)=nil, &(0x7f0000ff4000/0x4000)=nil, &(0x7f0000ffe000/0x2000)=nil, &(0x7f0000ffc000/0x2000)=nil, &(0x7f0000ff5000/0x1000)=nil, &(0x7f0000ff8000/0x8000)=nil, &(0x7f0000ff2000/0x1000)=nil, &(0x7f0000000040)="ae19e9710b", 0x5, r1}, 0x68) r2 = add_key$keyring(&(0x7f00000002c0), 0x0, 0x0, 0x0, 0xfffffffffffffffd) add_key$fscrypt_v1(0x0, 0x0, &(0x7f0000000440)={0x0, "9b55f610ffe7b9856842eb69443042b20caac33d7dda6ec6986b177fa13c2bd2c68577ea852c8bb47f31ee549b6a921e231ef07ee0f7fca620564faeeeafa440"}, 0x48, 0x0) add_key$keyring(0x0, &(0x7f0000000440)={'syz', 0x3}, 0x0, 0x0, 0x0) add_key$fscrypt_provisioning(&(0x7f0000000000), &(0x7f0000000140)={'syz', 0x3}, &(0x7f0000000640)=ANY=[@ANYBLOB="02000000000000000102030405060708090a0b0c0d0e0ffd29439a06000000000000001c1d1e1f202122232425262728292a2b2c2d2e2f2025fbb53435363738393a3b3c3d3e3f405c60c24d33c53ec7d97c570cc7eb7e9f3821450041b7f279bdf1acd68d1df22325ec445a3cdebc035a95229bf276942391fa3344dd65335dd235b80917862cd1fcad7832e67fc52fabbbee2622a731831ada03f46396b75240987af3b5e286eafddeae6cc506333fa310b3652f177e40f3e1a285e07e81098b46950fb39d9cd8ad2fde00008819f2bc2042f22c06b9a4b2f7adecde9510cdf7e1d2bd314f4108386a6e5d67b3e5a49ae8522bf4fc3bf98d061a73a592ff8a057555f802ee0b6c9147954229171a76b6bad0088f370cbe52b44572fd9f91676d2ae50784e3f9f53f7720480752cf6572d98827b1a4e7ffce781983f929b419ebbee0681f07"], 0x48, r2) add_key$keyring(&(0x7f0000000240), &(0x7f0000000080)={'syz', 0x3}, 0x0, 0x0, r2) r3 = socket$packet(0x11, 0x2, 0x300) perf_event_open(0x0, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r4 = seccomp$SECCOMP_SET_MODE_FILTER_LISTENER(0x1, 0xa, &(0x7f0000000180)={0x3, &(0x7f0000000100)=[{0xffff, 0x81, 0x40, 0x200}, {0x3f, 0x4, 0x7, 0xfbc}, {0x3, 0xd4, 0x7, 0x5}]}) ioctl$EXT4_IOC_GROUP_ADD(r4, 0x40286608, &(0x7f00000001c0)={0x7ff, 0x400, 0x1, 0x4, 0x86, 0x303}) clone3(&(0x7f0000000ac0)={0x17412c500, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) ioctl$BTRFS_IOC_QGROUP_ASSIGN(r3, 0x40189429, &(0x7f0000000200)={0x0, 0x5, 0x8}) 08:13:16 executing program 1: r0 = socket$inet6_udp(0xa, 0x2, 0x0) connect$inet6(r0, &(0x7f0000000000)={0xa, 0x4e22, 0x2, @dev={0xfe, 0x80, '\x00', 0x1d}, 0x2}, 0x1c) connect$inet6(r0, &(0x7f00000001c0)={0xa, 0x0, 0x0, @mcast2, 0x3}, 0x1c) recvfrom$inet6(0xffffffffffffffff, &(0x7f00000002c0)=""/141, 0x8d, 0x0, &(0x7f0000000100)={0xa, 0x4e23, 0x6286, @loopback, 0x2c}, 0x1c) perf_event_open(&(0x7f0000000140)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x8001, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r1 = socket$packet(0x11, 0x3, 0x300) ioctl$int_in(r1, 0x5452, &(0x7f00000000c0)=0x87) r2 = socket$inet6_udp(0xa, 0x2, 0x0) getsockopt$inet6_IPV6_FLOWLABEL_MGR(r2, 0x29, 0x2, 0x0, &(0x7f0000004b80)) socket$inet6_icmp(0xa, 0x2, 0x3a) r3 = openat$zero(0xffffffffffffff9c, 0x0, 0x0, 0x0) sendmsg$IPCTNL_MSG_EXP_GET(r3, &(0x7f0000000280)={&(0x7f0000000040), 0xc, 0x0}, 0x8800) sendmmsg$inet6(r0, &(0x7f0000004d00)=[{{0x0, 0x1100, &(0x7f00000004c0)=[{&(0x7f0000000080)='K', 0x1}], 0x1}}], 0x7ffff000, 0x0) 08:13:16 executing program 3: r0 = openat(0xffffffffffffff9c, &(0x7f0000000280)='./file0\x00', 0x46e2, 0x0) execveat(r0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000380)=[&(0x7f0000000140)=']\x00', &(0x7f00000002c0)='\x00', &(0x7f0000000300)='security.capability\x00', &(0x7f0000000340)='security.capability\x00'], &(0x7f0000000440)=[&(0x7f00000003c0)='\x00', &(0x7f0000000400)=']$-\x00'], 0x1000) fallocate(0xffffffffffffffff, 0x0, 0x0, 0x0) ftruncate(r0, 0x1000003) r1 = socket$inet6_udp(0xa, 0x2, 0x0) fcntl$dupfd(0xffffffffffffffff, 0x0, r1) r2 = openat$full(0xffffffffffffff9c, 0x0, 0x0, 0x0) r3 = dup2(0xffffffffffffffff, 0xffffffffffffffff) perf_event_open(&(0x7f0000001d80)={0x2, 0x80, 0x69, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) openat(0xffffffffffffff9c, &(0x7f00000004c0)='./file0\x00', 0x40, 0x9a) ioctl$FS_IOC_FSSETXATTR(r0, 0x40086602, &(0x7f0000000000)) getsockopt$inet6_IPV6_IPSEC_POLICY(r3, 0x29, 0x22, &(0x7f0000000180)={{{@in6=@empty}}, {{@in=@broadcast}, 0x0, @in=@local}}, &(0x7f00000005c0)=0xe8) fsetxattr$security_capability(r0, &(0x7f0000000040), &(0x7f0000000080)=@v2={0x2000000, [{}, {0x8000000, 0x3}]}, 0x14, 0x0) stat(&(0x7f0000000500)='./file0\x00', &(0x7f0000000600)) socket$netlink(0x10, 0x3, 0x0) ioctl$KDSKBLED(r2, 0x4b65, 0x8) ioctl$BTRFS_IOC_SUBVOL_GETFLAGS(0xffffffffffffffff, 0x80089419, &(0x7f0000000480)) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5b, 0x1, 0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5, 0x0, @perf_config_ext={0x7}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) write$binfmt_elf64(r0, &(0x7f00000001c0)=ANY=[], 0xfdef) 08:13:16 executing program 6: sendfile(0xffffffffffffffff, 0xffffffffffffffff, &(0x7f00000000c0), 0x0) ioctl$sock_SIOCGPGRP(0xffffffffffffffff, 0x8904, &(0x7f0000000180)=0x0) r1 = openat$sysfs(0xffffffffffffff9c, &(0x7f00000000c0)='/sys/kernel/vmcoreinfo', 0x0, 0x0) preadv2(r1, 0x0, 0x0, 0x0, 0x0, 0x0) perf_event_open(&(0x7f0000000200)={0x3, 0x80, 0x5, 0x9, 0x2, 0x9, 0x0, 0x5, 0x4, 0xc, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, 0x1, 0x1, 0x0, 0x1, 0x1, 0x1, 0x1, 0x1, 0x0, 0x1, 0x1, 0x1, 0x0, 0x0, 0x0, 0x1, 0x1, 0x1, 0x1, 0x1, 0x1, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, 0x0, 0x1, 0x0, 0x1, 0x0, 0x3, 0x1, @perf_bp={&(0x7f0000000000), 0x18}, 0x410, 0x8, 0xcea, 0x4, 0x0, 0x9, 0x9, 0x0, 0x7ff, 0x0, 0x4}, r0, 0xffffffffffffffff, r1, 0x8) mmap$IORING_OFF_CQ_RING(&(0x7f0000ffe000/0x2000)=nil, 0x2000, 0x0, 0x80071, 0xffffffffffffffff, 0x8000000) syz_io_uring_setup(0x0, 0x0, &(0x7f0000ff9000/0x4000)=nil, &(0x7f0000ffc000/0x4000)=nil, &(0x7f00000001c0), 0x0) madvise(&(0x7f0000ffc000/0x4000)=nil, 0x4000, 0x15) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r2 = socket$inet_icmp_raw(0x2, 0x3, 0x1) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff}) sendto$unix(r3, &(0x7f00000000c0)="e4", 0x1, 0x0, 0x0, 0x0) sendto$unix(r3, &(0x7f0000000100)="e9", 0x1, 0x0, 0x0, 0x0) socketpair$unix(0x1, 0x1, 0x0, &(0x7f0000000040)={0xffffffffffffffff, 0xffffffffffffffff}) ioctl$sock_TIOCINQ(r4, 0x541b, &(0x7f0000000480)) close_range(r3, r4, 0x0) setsockopt$SO_ATTACH_FILTER(r2, 0x1, 0x1a, &(0x7f0000000080)={0x2, &(0x7f0000000040)=[{0x28}, {0x6}]}, 0x10) 08:13:16 executing program 7: r0 = request_key(0x0, 0x0, 0x0, 0x0) r1 = openat$rfkill(0xffffffffffffff9c, &(0x7f0000000000), 0x101001, 0x0) add_key$keyring(&(0x7f0000000080), &(0x7f0000000100)={'syz', 0x3}, 0x0, 0x0, r0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x3) write$rfkill(r1, &(0x7f00000000c0)={0x0, 0x0, 0x3, 0x1}, 0x8) r2 = openat$tcp_mem(0xffffffffffffff9c, &(0x7f0000000000)='/proc/sys/net/ipv4/tcp_wmem\x00', 0x1, 0x0) io_setup(0x4, &(0x7f0000000140)=0x0) io_submit(r3, 0x1, &(0x7f0000000040)=[&(0x7f0000000180)={0x0, 0x0, 0x5, 0x1, 0x0, r2, &(0x7f00000000c0)="309a", 0x2}]) 08:13:16 executing program 0: sendmsg$nl_generic(0xffffffffffffffff, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f00000002c0)=ANY=[@ANYBLOB="3000000000000000000000000500000000000000b20d5f13000080487fec864b245e0f3f9be149f8d6c77220c3fcf35d29bc50e2970787aa50661508725c391553a10ac329f228a4ecdcbf1f6963052f3351f9dde59442b4b0bf27aaf14a1e025d4dbe7a1275b210fdea34bd1d74404d404bd572bd3ad148d3d5f2f48693c2fc1e4889d5501041647feb9ecddc70760d94252454f66a1a6945c364f2c7e716a9476393d5c7e50ea91bb8342355259b2a14b3855f6043ab78cf8ae36fce00"/201], 0x30}}, 0x0) set_mempolicy(0x0, &(0x7f00000000c0)=0x6c0e, 0x7fff) syz_80211_join_ibss(&(0x7f0000000040)='wlan1\x00', 0x0, 0x0, 0x0) syz_80211_inject_frame(&(0x7f00000000c0), &(0x7f0000000440)=ANY=[], 0x2f) fork() fork() tkill(0x0, 0x0) ptrace$cont(0xffffffffffffffff, 0x0, 0x0, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) r0 = fork() set_mempolicy(0x1, &(0x7f0000000000)=0x1, 0x1) syz_80211_inject_frame(&(0x7f00000002c0)=@device_b, &(0x7f0000000380)=ANY=[@ANYBLOB="0e2101000000c7aff0628d6e00a2d4000000000000000000"], 0xa) ptrace$setopts(0x4206, r0, 0x0, 0x0) ptrace(0x8, r0) wait4(0x0, 0x0, 0x8, &(0x7f0000000480)) 08:13:16 executing program 2: socketpair(0x1, 0x0, 0x0, &(0x7f0000000240)={0xffffffffffffffff, 0xffffffffffffffff}) syz_init_net_socket$nl_generic(0x10, 0x3, 0x10) ioctl$sock_SIOCGIFVLAN_SET_VLAN_INGRESS_PRIORITY_CMD(r0, 0x8982, &(0x7f0000000000)={0x2, 'veth1_to_team\x00', {0x5}, 0x2}) [ 205.669994] mac80211_hwsim: wmediumd released netlink socket, switching to perfect channel medium [ 205.702458] [ 205.702858] ====================================================== [ 205.704169] WARNING: possible circular locking dependency detected [ 205.705477] 5.10.199 #1 Not tainted [ 205.706237] ------------------------------------------------------ [ 205.707572] syz-executor.7/4310 is trying to acquire lock: [ 205.708976] ffff88800d498b78 ((work_completion)(&hdev->bg_scan_update)){+.+.}-{0:0}, at: __flush_work+0xdd/0xa90 [ 205.714414] [ 205.714414] but task is already holding lock: [ 205.715669] ffffffff85619468 (rfkill_global_mutex){+.+.}-{3:3}, at: rfkill_fop_write+0xff/0x4b0 [ 205.717509] [ 205.717509] which lock already depends on the new lock. [ 205.717509] [ 205.719231] [ 205.719231] the existing dependency chain (in reverse order) is: [ 205.720804] [ 205.720804] -> #4 (rfkill_global_mutex){+.+.}-{3:3}: [ 205.722183] __mutex_lock+0x13d/0x10b0 [ 205.723117] rfkill_register+0x36/0xa10 [ 205.724057] hci_register_dev+0x42e/0xc00 [ 205.725034] __vhci_create_device+0x2c8/0x5c0 [ 205.726064] vhci_open_timeout+0x38/0x50 [ 205.727028] process_one_work+0x9a9/0x14b0 [ 205.728033] worker_thread+0x61d/0x1310 [ 205.728979] kthread+0x38f/0x470 [ 205.729784] ret_from_fork+0x22/0x30 [ 205.730643] [ 205.730643] -> #3 (&data->open_mutex){+.+.}-{3:3}: [ 205.731971] __mutex_lock+0x13d/0x10b0 [ 205.732873] vhci_send_frame+0x63/0xa0 [ 205.733771] hci_send_frame+0x1b9/0x320 [ 205.734702] hci_tx_work+0x10af/0x1660 [ 205.735595] process_one_work+0x9a9/0x14b0 [ 205.736560] worker_thread+0x61d/0x1310 [ 205.737475] kthread+0x38f/0x470 [ 205.738263] ret_from_fork+0x22/0x30 [ 205.739131] [ 205.739131] -> #2 ((work_completion)(&hdev->tx_work)){+.+.}-{0:0}: [ 205.740738] __flush_work+0x105/0xa90 [ 205.741630] hci_dev_do_close+0x131/0x1240 [ 205.742614] hci_unregister_dev+0x149/0x430 [ 205.743610] vhci_release+0x70/0xf0 [ 205.744475] __fput+0x285/0x980 [ 205.745263] task_work_run+0xe2/0x1a0 [ 205.746151] do_exit+0xb6f/0x2600 [ 205.746978] do_group_exit+0x125/0x310 [ 205.747889] __x64_sys_exit_group+0x3a/0x50 [ 205.748890] do_syscall_64+0x33/0x40 [ 205.749770] entry_SYSCALL_64_after_hwframe+0x62/0xc7 [ 205.750952] [ 205.750952] -> #1 (&hdev->req_lock){+.+.}-{3:3}: [ 205.752245] __mutex_lock+0x13d/0x10b0 [ 205.753162] bg_scan_update+0x82/0x500 [ 205.754068] process_one_work+0x9a9/0x14b0 [ 205.755081] worker_thread+0x61d/0x1310 [ 205.755992] kthread+0x38f/0x470 [ 205.756782] ret_from_fork+0x22/0x30 [ 205.757631] [ 205.757631] -> #0 ((work_completion)(&hdev->bg_scan_update)){+.+.}-{0:0}: [ 205.759356] __lock_acquire+0x29e7/0x5b00 [ 205.760307] lock_acquire+0x197/0x470 [ 205.761183] __flush_work+0x105/0xa90 [ 205.762058] __cancel_work_timer+0x368/0x4c0 [ 205.763066] hci_request_cancel_all+0x73/0x230 [ 205.764112] hci_dev_do_close+0xd9/0x1240 [ 205.765067] hci_rfkill_set_block+0x166/0x1a0 [ 205.766097] rfkill_set_block+0x1fd/0x540 [ 205.767072] rfkill_fop_write+0x253/0x4b0 [ 205.768027] vfs_write+0x29a/0xa70 [ 205.768870] ksys_write+0x1f6/0x260 [ 205.769726] do_syscall_64+0x33/0x40 [ 205.770608] entry_SYSCALL_64_after_hwframe+0x62/0xc7 [ 205.771793] [ 205.771793] other info that might help us debug this: [ 205.771793] [ 205.773530] Chain exists of: [ 205.773530] (work_completion)(&hdev->bg_scan_update) --> &data->open_mutex --> rfkill_global_mutex [ 205.773530] [ 205.776352] Possible unsafe locking scenario: [ 205.776352] [ 205.777582] CPU0 CPU1 [ 205.778531] ---- ---- [ 205.779503] lock(rfkill_global_mutex); [ 205.780345] lock(&data->open_mutex); [ 205.781655] lock(rfkill_global_mutex); [ 205.783009] lock((work_completion)(&hdev->bg_scan_update)); [ 205.784213] [ 205.784213] *** DEADLOCK *** [ 205.784213] [ 205.785441] 1 lock held by syz-executor.7/4310: [ 205.786395] #0: ffffffff85619468 (rfkill_global_mutex){+.+.}-{3:3}, at: rfkill_fop_write+0xff/0x4b0 [ 205.788321] [ 205.788321] stack backtrace: [ 205.789244] CPU: 0 PID: 4310 Comm: syz-executor.7 Not tainted 5.10.199 #1 [ 205.790666] Hardware name: QEMU Standard PC (i440FX + PIIX, 1996), BIOS 1.12.0-1 04/01/2014 [ 205.792386] Call Trace: [ 205.792926] dump_stack+0x107/0x167 [ 205.793680] check_noncircular+0x263/0x2e0 [ 205.794562] ? register_lock_class+0xbb/0x17b0 [ 205.795509] ? print_circular_bug+0x470/0x470 [ 205.796454] ? find_first_zero_bit+0x94/0xb0 [ 205.797368] ? alloc_chain_hlocks+0x1ec/0x5a0 [ 205.798296] __lock_acquire+0x29e7/0x5b00 [ 205.799165] ? lockdep_hardirqs_on_prepare+0x3e0/0x3e0 [ 205.800251] ? lock_acquire+0x197/0x470 [ 205.801073] ? lock_chain_count+0x20/0x20 [ 205.801929] ? mark_lock+0xf5/0x2df0 [ 205.802711] lock_acquire+0x197/0x470 [ 205.803501] ? __flush_work+0xdd/0xa90 [ 205.804305] ? lock_release+0x680/0x680 [ 205.805121] ? __flush_work+0x78c/0xa90 [ 205.805945] ? lock_downgrade+0x6d0/0x6d0 [ 205.806813] __flush_work+0x105/0xa90 [ 205.807602] ? __flush_work+0xdd/0xa90 [ 205.808410] ? lock_chain_count+0x20/0x20 [ 205.809268] ? queue_delayed_work_on+0xe0/0xe0 [ 205.810213] ? mark_lock+0xf5/0x2df0 [ 205.810989] ? mark_lock+0xf5/0x2df0 [ 205.811758] ? lock_chain_count+0x20/0x20 [ 205.812616] ? lockdep_hardirqs_on_prepare+0x3e0/0x3e0 [ 205.813667] ? lock_chain_count+0x20/0x20 [ 205.814427] ? lock_acquire+0x197/0x470 [ 205.815292] ? rfkill_send_events+0x1e8/0x390 [ 205.816223] ? mark_held_locks+0x9e/0xe0 [ 205.817076] __cancel_work_timer+0x368/0x4c0 [ 205.817992] ? try_to_grab_pending+0xe0/0xe0 [ 205.818951] ? lockdep_hardirqs_on_prepare+0x277/0x3e0 [ 205.820045] ? cancel_delayed_work+0x24d/0x2b0 [ 205.820993] ? trace_hardirqs_on+0x5b/0x180 [ 205.821892] ? cancel_delayed_work+0x1b8/0x2b0 [ 205.822863] ? cancel_delayed_work_sync+0x20/0x20 [ 205.823876] hci_request_cancel_all+0x73/0x230 [ 205.824817] hci_dev_do_close+0xd9/0x1240 [ 205.825673] ? rfkill_set_block+0x18f/0x540 [ 205.826569] ? hci_dev_open+0x350/0x350 [ 205.827390] ? mark_held_locks+0x9e/0xe0 [ 205.828229] hci_rfkill_set_block+0x166/0x1a0 [ 205.829151] ? hci_power_off+0x20/0x20 [ 205.829953] rfkill_set_block+0x1fd/0x540 [ 205.830822] rfkill_fop_write+0x253/0x4b0 [ 205.831677] ? rfkill_sync_work+0xa0/0xa0 [ 205.832564] ? security_file_permission+0x24e/0x570 [ 205.833604] ? rfkill_sync_work+0xa0/0xa0 [ 205.834466] vfs_write+0x29a/0xa70 [ 205.835217] ksys_write+0x1f6/0x260 [ 205.835969] ? __ia32_sys_read+0xb0/0xb0 [ 205.836805] ? lockdep_hardirqs_on_prepare+0x277/0x3e0 [ 205.837885] ? syscall_enter_from_user_mode+0x1d/0x50 [ 205.838975] do_syscall_64+0x33/0x40 [ 205.839760] entry_SYSCALL_64_after_hwframe+0x62/0xc7 [ 205.840828] RIP: 0033:0x7f66c292fb19 [ 205.841602] Code: ff ff c3 66 2e 0f 1f 84 00 00 00 00 00 0f 1f 40 00 48 89 f8 48 89 f7 48 89 d6 48 89 ca 4d 89 c2 4d 89 c8 4c 8b 4c 24 08 0f 05 <48> 3d 01 f0 ff ff 73 01 c3 48 c7 c1 bc ff ff ff f7 d8 64 89 01 48 [ 205.845421] RSP: 002b:00007f66bfe84188 EFLAGS: 00000246 ORIG_RAX: 0000000000000001 [ 205.847002] RAX: ffffffffffffffda RBX: 00007f66c2a43020 RCX: 00007f66c292fb19 [ 205.848478] RDX: 0000000000000008 RSI: 00000000200000c0 RDI: 0000000000000003 [ 205.849979] RBP: 00007f66c2989f6d R08: 0000000000000000 R09: 0000000000000000 [ 205.851500] R10: 0000000000000000 R11: 0000000000000246 R12: 0000000000000000 [ 205.853045] R13: 00007fff87d127bf R14: 00007f66bfe84300 R15: 0000000000022000 [ 206.160359] mac80211_hwsim: wmediumd released netlink socket, switching to perfect channel medium 08:13:17 executing program 5: r0 = perf_event_open(&(0x7f0000000040)={0x2, 0x80, 0x6f, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) openat(0xffffffffffffffff, &(0x7f00000000c0)='./file0\x00', 0x501000, 0x62) r1 = openat$nvram(0xffffffffffffff9c, &(0x7f0000000000), 0x0, 0x0) dup2(r0, r1) 08:13:17 executing program 6: ftruncate(0xffffffffffffffff, 0x8) r0 = add_key$fscrypt_v1(&(0x7f0000000180), &(0x7f00000001c0)={'fscrypt:', @desc4}, &(0x7f0000000200)={0x0, "f038779de021f8c8c974dd89170cf2579d1fa459ba726003d07140eeb342b87ae631f7a548867a29f29fd1637ddac658a709b49b093393d0e1c7391515c7ab7c"}, 0x48, 0xfffffffffffffffe) keyctl$chown(0x4, r0, 0xee01, 0xee00) add_key$keyring(&(0x7f00000000c0), &(0x7f0000000540)={'syz', 0x2}, 0x0, 0x0, 0xfffffffffffffffb) r1 = add_key$fscrypt_v1(0x0, 0x0, &(0x7f0000000440)={0x0, "9b55f610ffe7b9856842eb69443042b20caac33d7dda6ec6986b177fa13c2bd2c68577ea852c8bb47f31ee549b6a921e231ef07ee0f7fca620564faeeeafa440"}, 0x48, 0x0) getsockopt$inet_mreq(0xffffffffffffffff, 0x0, 0x24, &(0x7f00000004c0)={@multicast1, @broadcast}, &(0x7f0000000500)=0x8) keyctl$KEYCTL_RESTRICT_KEYRING(0x1d, r1, &(0x7f0000000680)='cifs.spnego\x00', &(0x7f00000006c0)=@chain={'key_or_keyring:', r1}) r2 = add_key$keyring(&(0x7f0000000240), &(0x7f0000000080)={'syz', 0x1}, 0x0, 0x0, 0xfffffffffffffffe) add_key$fscrypt_v1(&(0x7f0000001180), &(0x7f0000001300)={'fscrypt:', @desc2}, &(0x7f0000001340)={0x0, "9efd48cf39d5722d2525343efebd8efe723aed05f0ffafa2734185fda322e061c85fc337d6a171a22ac50d831b4ecc5b3e0ece1c147db224728e0107261333ce"}, 0x48, r2) keyctl$read(0xb, r2, &(0x7f0000000380)=""/24, 0x18) r3 = add_key$keyring(&(0x7f0000000040), &(0x7f0000000640)={'syz', 0x3}, 0x0, 0x0, 0x0) r4 = add_key$user(&(0x7f0000000a40), &(0x7f0000000140)={'syz', 0x1}, &(0x7f0000000740)="a373a28cc6210c9deda882b91a85928db22c8c5b35903a75986ca3078e39b08a0b78b61a6321fca7c4b499648a39eec8d551ae59bb4fc52f66020000003f324cc9f962599fee142344ae690e1d1380c70eb0132e33b92a76000000000016794dd51622c77053ccdc70c7a27aa63114162dffcd619fe4b932a8cfff0ffe005743afaa69d9dbf8ba2d491e3dd5c82691560733e6c3d0b1a60bdb53607f963c19229be979cfe17ae3c8d1b0ec1da26ac32b9972fcec75afe3ce024686dd509549c36ee5a94658e2203d795ec9d9bb507ef16a8365d169a925296a8636a8ec3f35616f06c03aa0035cc4959f4ac807ace07fd6cd99b3a9b68a3ebdb75822199f0d44a3a6cf8c1bd89ac34864bd1b1eade5d70d479ed3", 0x114, 0xfffffffffffffffa) keyctl$KEYCTL_RESTRICT_KEYRING(0x1d, r3, &(0x7f0000000240)='asymmetric\x00', &(0x7f0000000000)=@keyring={'key_or_keyring:', r4, 0xa}) request_key(&(0x7f0000000240)='.request_key_auth\x00', &(0x7f0000000280)={'syz', 0x3}, &(0x7f0000000380)='dns_resolver\x00', r3) add_key$keyring(&(0x7f0000000340), &(0x7f00000003c0)={'syz', 0x3}, 0x0, 0x0, r0) sendmmsg$inet(0xffffffffffffffff, &(0x7f00000004c0), 0x0, 0x800) getsockopt$IPT_SO_GET_REVISION_TARGET(0xffffffffffffffff, 0x0, 0x43, &(0x7f0000000400)={'HL\x00'}, &(0x7f0000000600)=0x1e) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x49000, 0x2, 0x0, 0x1, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={&(0x7f0000000100), 0x1}, 0x0, 0x0, 0x0, 0x2, 0x20, 0x0, 0x0, 0x0, 0x80000}, 0x0, 0x8, 0xffffffffffffffff, 0x0) clone3(&(0x7f0000000ac0)={0x17412c500, 0x0, 0x0, 0x0, {}, 0x0, 0x0, 0x0, 0x0}, 0x58) add_key(&(0x7f0000000700)='asymmetric\x00', &(0x7f00000002c0)={'syz', 0x3}, &(0x7f0000000580)="81edf0b6b6f7bc5496d26ff1907fcefcfe73b799ca308e05044e1d62bbc67a429e693d1541227d9ac31d7bb9588815c55da9681e1d4f554b0c6b99eec6f3b4621676106c5f984b2bed2f6d4dafd6fe44eb143a10ced344ecebd4f32fdec89c5105003dc09c0e773dcd95d8933d49f52b00000000000000", 0x77, 0xfffffffffffffffc) 08:13:17 executing program 4: perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_config_ext}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) pread64(0xffffffffffffffff, 0x0, 0x7ffffffff000, 0xf0ff1f00000000) bind$inet6(0xffffffffffffffff, &(0x7f0000000040)={0xa, 0x4e23, 0x0, @remote, 0xffff}, 0x1c) r0 = socket$netlink(0x10, 0x3, 0x0) sendmsg$nl_generic(0xffffffffffffffff, 0x0, 0x41) sendmsg$nl_generic(r0, &(0x7f0000000140)={0x0, 0x0, &(0x7f0000000080)={&(0x7f00000011c0)={0x28, 0x10, 0x1, 0x0, 0x0, {}, [@typed={0x5, 0x0, 0x0, 0x0, @str='\x00'}, @nested={0xc, 0x0, 0x0, 0x1, [@typed={0x8, 0xd, 0x0, 0x0, @ipv4=@dev}]}]}, 0x28}}, 0x0) chdir(&(0x7f00000001c0)='./file0\x00') open$dir(&(0x7f0000000000)='.\x00', 0x0, 0x0) perf_event_open(&(0x7f0000001d80)={0x6, 0x80, 0x0, 0x0, 0x0, 0x0, 0x4, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffffffd, 0x0, @perf_bp={0x0, 0xffffffff81000000}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5e, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0xfffd}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) ptrace(0x11, 0xffffffffffffffff) openat$procfs(0xffffffffffffff9c, &(0x7f00000000c0)='/proc/partitions\x00', 0x0, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) writev(0xffffffffffffffff, &(0x7f00000003c0)=[{&(0x7f0000000000)="0000abe02400030021206cda3b5e5672b89aeddb2a535fbd0706006dff0043a9d7cceb232fb81bf74ebdd05b7677", 0x2e}, {&(0x7f0000000680)="7fd41c04550300020000000000000000010046e92ed2616f72657d044129471d4fd47924fd0900e09e0068deec02", 0x2e}], 0x2) [ 206.570720] mac80211_hwsim hwsim7 wlan1: refused to change device tx_queue_len 08:13:17 executing program 3: r0 = openat(0xffffffffffffff9c, &(0x7f0000000280)='./file0\x00', 0x46e2, 0x0) execveat(r0, &(0x7f00000000c0)='./file0\x00', &(0x7f0000000380)=[&(0x7f0000000140)=']\x00', &(0x7f00000002c0)='\x00', &(0x7f0000000300)='security.capability\x00', &(0x7f0000000340)='security.capability\x00'], &(0x7f0000000440)=[&(0x7f00000003c0)='\x00', &(0x7f0000000400)=']$-\x00'], 0x1000) fallocate(0xffffffffffffffff, 0x0, 0x0, 0x0) ftruncate(r0, 0x1000003) r1 = socket$inet6_udp(0xa, 0x2, 0x0) fcntl$dupfd(0xffffffffffffffff, 0x0, r1) r2 = openat$full(0xffffffffffffff9c, 0x0, 0x0, 0x0) r3 = dup2(0xffffffffffffffff, 0xffffffffffffffff) perf_event_open(&(0x7f0000001d80)={0x2, 0x80, 0x69, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, @perf_bp={0x0}}, 0x0, 0x0, 0xffffffffffffffff, 0x0) openat(0xffffffffffffff9c, &(0x7f00000004c0)='./file0\x00', 0x40, 0x9a) ioctl$FS_IOC_FSSETXATTR(r0, 0x40086602, &(0x7f0000000000)) getsockopt$inet6_IPV6_IPSEC_POLICY(r3, 0x29, 0x22, &(0x7f0000000180)={{{@in6=@empty}}, {{@in=@broadcast}, 0x0, @in=@local}}, &(0x7f00000005c0)=0xe8) fsetxattr$security_capability(r0, &(0x7f0000000040), &(0x7f0000000080)=@v2={0x2000000, [{}, {0x8000000, 0x3}]}, 0x14, 0x0) stat(&(0x7f0000000500)='./file0\x00', &(0x7f0000000600)) socket$netlink(0x10, 0x3, 0x0) ioctl$KDSKBLED(r2, 0x4b65, 0x8) ioctl$BTRFS_IOC_SUBVOL_GETFLAGS(0xffffffffffffffff, 0x80089419, &(0x7f0000000480)) perf_event_open(&(0x7f0000001d80)={0x1, 0x80, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5b, 0x1, 0x8, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x0, 0x5, 0x0, @perf_config_ext={0x7}}, 0x0, 0xffffffffffffffff, 0xffffffffffffffff, 0x0) dup2(0xffffffffffffffff, 0xffffffffffffffff) write$binfmt_elf64(r0, &(0x7f00000001c0)=ANY=[], 0xfdef) [ 211.333094] Bluetooth: hci3: command 0x0409 tx timeout [ 213.382094] Bluetooth: hci3: command 0x041b tx timeout [ 214.224761] ieee80211 phy18: Selected rate control algorithm 'minstrel_ht' [ 214.240815] wlan0: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 214.242531] ieee80211 phy19: Selected rate control algorithm 'minstrel_ht' [ 214.244083] wlan0: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 214.247287] IPv6: ADDRCONF(NETDEV_CHANGE): wlan0: link becomes ready [ 214.265885] wlan1: Created IBSS using preconfigured BSSID 50:50:50:50:50:50 [ 214.267429] wlan1: Creating new IBSS network, BSSID 50:50:50:50:50:50 [ 214.269080] IPv6: ADDRCONF(NETDEV_CHANGE): wlan1: link becomes ready [ 215.429110] Bluetooth: hci3: command 0x040f tx timeout VM DIAGNOSIS: 08:13:15 Registers: info registers vcpu 0 RAX=dffffc0000000060 RBX=00000000000003fd RCX=0000000000000000 RDX=00000000000003fd RSI=ffffffff822ca14c RDI=ffffffff879e81e0 RBP=ffffffff879e81a0 RSP=ffff88801747f170 R8 =0000000000000001 R9 =0000000000000003 R10=000000000000000a R11=0000000000000001 R12=0000000000000020 R13=fffffbfff0f3d088 R14=fffffbfff0f3d03e R15=dffffc0000000000 RIP=ffffffff822ca1a0 RFL=00000002 [-------] CPL=0 II=0 A20=1 SMM=0 HLT=0 ES =0000 0000000000000000 00000000 00000000 CS =0010 0000000000000000 ffffffff 00a09b00 DPL=0 CS64 [-RA] SS =0018 0000000000000000 ffffffff 00c09300 DPL=0 DS [-WA] DS =0000 0000000000000000 00000000 00000000 FS =0000 00007f66bfe84700 00000000 00000000 GS =0000 ffff88806ce00000 00000000 00000000 LDT=0000 fffffe0000000000 00000000 00000000 TR =0040 fffffe0000003000 00004087 00008b00 DPL=0 TSS64-busy GDT= fffffe0000001000 0000007f IDT= fffffe0000000000 00000fff CR0=80050033 CR2=000055c796d22a50 CR3=000000001e316000 CR4=00350ef0 DR0=0000000000000000 DR1=0000000000000000 DR2=0000000000000000 DR3=0000000000000000 DR6=00000000ffff0ff0 DR7=0000000000000600 EFER=0000000000000d01 FCW=037f FSW=0000 [ST=0] FTW=00 MXCSR=00001f80 FPR0=0000000000000000 0000 FPR1=0000000000000000 0000 FPR2=0000000000000000 0000 FPR3=0000000000000000 0000 FPR4=0000000000000000 0000 FPR5=0000000000000000 0000 FPR6=0000000000000000 0000 FPR7=0000000000000000 0000 XMM00=ffffffffffffff00ffffffffffffffff XMM01=ffffffffffffffffffffffffffffffff XMM02=00000000000000000000000000000000 XMM03=00000000000000000000000000000000 XMM04=000000000000000000000000000000ff XMM05=00000000000000000000000000000000 XMM06=0000000000000000000000524f525245 XMM07=00000000000000000000000000000000 XMM08=000000000000000000524f5252450040 XMM09=00000000000000000000000000000000 XMM10=00000000000000000000000000000000 XMM11=00000000000000000000000000000000 XMM12=00000000000000000000000000000000 XMM13=00000000000000000000000000000000 XMM14=00000000000000000000000000000000 XMM15=00000000000000000000000000000000 info registers vcpu 1 RAX=ffffffff830f4a9d RBX=ffffffff858a31d8 RCX=ffffffff830f4a3c RDX=0000000000000000 RSI=ffffffff85c5438c RDI=ffffffff858a31c4 RBP=ffffffff858a31c4 RSP=ffff88801d227a28 R8 =ffffffff85c5438c R9 =ffffffff85c543ce R10=0000000000032042 R11=1ffff11003a44f56 R12=ffffffff858a31ec R13=ffffffff858a31c4 R14=ffffffff858a31c4 R15=dffffc0000000000 RIP=ffffffff81106a56 RFL=00000283 [--S---C] CPL=0 II=0 A20=1 SMM=0 HLT=0 ES =0000 0000000000000000 00000000 00000000 CS =0010 0000000000000000 ffffffff 00a09b00 DPL=0 CS64 [-RA] SS =0018 0000000000000000 ffffffff 00c09300 DPL=0 DS [-WA] DS =0000 0000000000000000 00000000 00000000 FS =0000 00007f11e5d09700 00000000 00000000 GS =0000 ffff88806cf00000 00000000 00000000 LDT=0000 fffffe0000000000 00000000 00000000 TR =0040 fffffe000004a000 00004087 00008b00 DPL=0 TSS64-busy GDT= fffffe0000048000 0000007f IDT= fffffe0000000000 00000fff CR0=80050033 CR2=0000001b2d327000 CR3=00000000094b0000 CR4=00350ee0 DR0=0000000000000000 DR1=0000000000000000 DR2=0000000000000000 DR3=0000000000000000 DR6=00000000ffff0ff0 DR7=0000000000000400 EFER=0000000000000d01 FCW=037f FSW=0000 [ST=0] FTW=00 MXCSR=00001f80 FPR0=0000000000000000 0000 FPR1=0000000000000000 0000 FPR2=0000000000000000 0000 FPR3=0000000000000000 0000 FPR4=0000000000000000 0000 FPR5=0000000000000000 0000 FPR6=0000000000000000 0000 FPR7=0000000000000000 0000 XMM00=00000000000000000000000000000000 XMM01=ffffffffffffffffffffffffffffffff XMM02=00000000000000000000000000000000 XMM03=00000000000000000000000000000000 XMM04=000000000000000000000000000000ff XMM05=00000000000000000000000000000000 XMM06=0000000000000000000000524f525245 XMM07=00000000000000000000000000000000 XMM08=000000000000000000524f5252450040 XMM09=00000000000000000000000000000000 XMM10=00000000000000000000000000000000 XMM11=00000000000000000000000000000000 XMM12=00000000000000000000000000000000 XMM13=00000000000000000000000000000000 XMM14=00000000000000000000000000000000 XMM15=00000000000000000000000000000000