syz_open_dev$sg(&(0x7f0000000040), 0x1, 0xc83)