r0 = syz_open_procfs(0x0, &(0x7f0000000700)='clear_refs\x00') writev(r0, &(0x7f0000000500)=[{&(0x7f0000000040)='7', 0x1}], 0x1)