r0 = syz_open_dev$sg(&(0x7f0000000080), 0x0, 0x82801) writev(r0, &(0x7f0000000000)=[{&(0x7f00000000c0)="0040abe024000800000000da005e5672b89aeddb2a535fbd07ba980aabf9f2eab3346592056d166c43a9", 0x2a}, {&(0x7f0000000040)="97", 0x1}], 0x2)