r0 = syz_open_dev$sg(&(0x7f0000000080), 0x0, 0x82801) writev(r0, &(0x7f0000000040)=[{&(0x7f00000002c0)="0040abe024000800000000da005e5672b89aeddb2a535fbd07ba986d166c43a9d7cceb2355b81bf74ebdd05b76776164d3751d9f0055", 0x36}, {&(0x7f0000000340)="bcd21bd05fbc4edebdecdf4114123b10c45a7d5a352d435848f3945d9ee46aff417cd3e5c7d3cb8bea037e01503f92d013bbd8fc4bed5e4121145df439b5a8386be55d8010e3f30f54cbf7a0c2ba91e73a587cabb8a6cdd7", 0x58}], 0x2)