r0 = creat(&(0x7f0000000000)='./file0\x00', 0x0) r1 = creat(&(0x7f0000000080)='./file0\x00', 0x0) pwrite64(r1, &(0x7f0000000480)="af", 0x1, 0x1000000) write$binfmt_elf64(r1, &(0x7f00000004c0)=ANY=[], 0x78) fallocate(r0, 0x0, 0x200000, 0x8000)